Giáo trình Hệ thống thông tin điện tử - Chương 4: ứng dụng Varicap trong điện tử thông tin

Bộ tổng hợp tần số đơn

Như đã đề cập trong các chương trước, trong các máy phát hoặc các máy thu đổi

tần cần có các mạch dao động có thể thay đổi tần số để phát hoặc thu các kênh khác

nhau. Trước đây, người ta thực hiện thay đổi tần số mạch dao động LC bằng cách thay

đổi giá trị của L hoặc C. Lúc đó chúng được gọi là các mạch dao động có thể thay đổi

tần số VFO (Variable-frequency Oscillators). Tuy nhiên, mạch dao động thường

không có độ ổn định cao trong một dải tần số rộng do giá trị của L và C thường thay

đổi theo nhiệt độ, độ ẩm và các tác nhân khác. Đồng thời chúng thường cồng kềnh và

giá thành cao.83

Việc sử dụng thạch anh trong mạch dao động có thể tăng độ ổn định tần số dao

động lên rất cao, độ di tần tương đối có thể giảm đến vài phần triệu trong khoảng thời

gian dài. Tuy nhiên, tần số của chúng chỉ có thể thay đổi rất nhỏ bằng cách thay đổi

các tụ nối tiếp hoặc song song. Nghĩa là nó không tạo ra được các tần số khác biệt

nhau.

Nhiều năm gần đây người ta kết hợp các mạch dao động thạch anh có tần số ổn

định với các chuyển mạch để tạo ra các tần số khác nhau cho các kênh. Tuy nhiên, giải

pháp này cũng tốn nhiều linh kiện và giá thành cao.

Gần đây, người ta thiết kế và đưa vào sử dụng các bộ tổng hợp tần số dựa trên

nguyên lý vòng khoá pha PLL. Nó càng ngày càng phổ biến và được dùng trong hầu

hết các máy thu phát hiện đại do tính gọn nhẹ, không yêu cầu độ chính xác cơ khí cao,

ứng dụng các thành quả của công nghệ sản xuất vi mạch để nâng cao tốc độ và tính

chính xác của các IC chế tạo nên PLL. Đồng thời khi kết hợp với thạch anh, nó có khả

năng tạo ra dải tần rộng, độ chính xác cao, giá thành thấp

 

pdf48 trang | Chia sẻ: trungkhoi17 | Lượt xem: 403 | Lượt tải: 0download
Bạn đang xem trước 20 trang tài liệu Giáo trình Hệ thống thông tin điện tử - Chương 4: ứng dụng Varicap trong điện tử thông tin, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
t1 t2 t1: I2 mở t2: I2 tắt fout VC 0V Hình 5.1 Kỹ thuật FM tần số thấp lμ một ph−ơng thức biến đổi điện áp sang tần số gọi tắt lμ chuyển đổi V TO F. Kỹ thuật nμy đ−ợc sử dụng khá phổ biến trong các mạch xử lý tín hiệu truyền tải hay l−u trữ thông tin. −u điểm của kỹ thuật nμy lμ nhờ công nghệ chế tạo vi mạch để có độ tuyến tính cao trong chuyển đổi V sang F. Độ di tần có thể đạt đến giá trị cực đại. Các ứng dụng phổ biến lμ trong các mạch thu phát hồng ngoại, thông tin quang, thu phát tín hiệu điều khiển từ xa, các loại tín hiệu số, hoặc l−u trữ dữ kiện, thông tin trên băng cassette. Thông th−ờng bộ chuyển đổi có thể kết hợp với một 61 PLL để có độ chính xác cao vμ luôn luôn có tính thuận nghịch, nghĩa lμ có thể chuyển đổi từ điện áp sang tần số vμ ng−ợc lại từ F sang V. 5.1.2 Hoạt động của mạch Bộ chuyển đổi V sang F th−ờng có 3 khối: - Mạch tích phân kết hợp với nguồn dòng I2. - Mạch so sánh điện áp để phát hiện mức điện áp đầu ra của bộ tích phân. - Mạch monostable nhằm tạo xung ở đầu ra mμ mức cao có thời gian t1 không đổi (quyết định bởi mạch RC của Monostable). Trong thời gian t1, xung ở đầu ra có mức 1 (mức cao). Nó đ−ợc đ−a trở về mở nguồn dòng để tạo ra dòng không đổi I2. Dòng I2 chia lμm 2 phần: I2 = IC+I1, trong đó IC lμ dòng nạp cho tụ C của mạch tích phân lμm cho điện áp trên tụ (tức lμ điện áp ở đầu ra của bộ tích phân) có độ dốc âm nh− hình vẽ. Còn dòng I1 thì chạy qua Rin. Bộ so sánh điện áp sẽ so sánh mức điện áp trên đầu ra bộ tích phân vμ giá trị 0 (masse) để tạo 1 xung kích mở mạch Monostable. Trong thời gian t2, điện áp trên đầu ra của mạch Monostable bằng 0 lμm đóng (tắt) nguồn I2. Tụ C sẽ phóng điện qua Rin bằng dòng I1. Năng l−ợng nạp cho tụ C trong thời gian t1 sẽ đ−ợc phóng hết trong thời gian t2. ở cuối thời điểm của t2, mạch so sánh tạo ra 1 xung kích mở mạch Monostable để tạo xung đầu ra mạch Monostable có độ rộng t1 Gọi T =t1 + t2 lμ chu kỳ hoạt động của mạch. T phụ thuộc vμo vin, I2, Rin vμ C. 5.1.3 Thiết lập quan hệ giữa vin vμ fout Trong thời gian t1: tụ nạp điện bằng dòng IC in in C R vIIII +=−= 212 với in in R vI −=1 Điện tích nạp cho tụ trong thời gian t1: 121121 )()(. tR vItIItIq in in CC +=−==Δ (1) Trong thời gian t2: dòng I2 = 0, tụ C sẽ xã điện bằng dòng cố định I1= (-vin/Rin). Điện tích do tụ xả: 62 221. tR vtIq in in C −==Δ (2) Điện tích nạp vμ xả trên tụ bằng nhau nên từ (1) vμ (2) ta suy ra: 1 2 21 212 t. v RIttT t R vt) R vI( in in in in in in −=+=→ −=+ Vậy: 12 1 tRI v T f in in out −== (3) Từ (3) suy ra: fout tỷ lệ với vin với điều kiện I1<< I2 C: không xuất hiện trong biểu thức do đó C không câng phải lμ loại có độ chính xác cao lắm. 12 tRI v f in in out = 5.2 Một số vi mạch chuyển đổi V sang F 5.2.1 Khảo sát IC RC 4151 1 2 3 4 5 6 7 8 RC4151 R0 6.8K R’0 RL 47K Vlogic f0 C0 .01 R4 12K R5 5K RS R3 100K R2 47K CB 1μF R1 100K .1 C2 .1 Vin Hình 5.2 Loại IC nμy đ−ợc sử dụng rất rộng rãi trong các mạch tiêu biểu vμ tần số ngõ ra đạt đến 10KHz. 63 Hoạt động của mạch vμ các tham số: Nguồn dòng I2 đ−ợc mở trong thời gian t1. Dòng nμy sẽ nạp qua tụ C0. CB tham gia vμo mạch tích phân. Độ phi tuyến của quá trình chuyển đổi V sang F lμ 1%. I2 có giá trị danh định lμ 135 μA. Rs để điều chỉnh tầm hoạt động cực đại. R0: nối tiếp với một điện trở nhằm điều chỉnh thời gian t1, R0 phải nằm trong dãy điện trở sau đây: (R0 + R0’): 0,8KΩ ữ680KΩ C0: 1000pF ữ 1μF t1= 1,1R0C0 (thời gian tồn tại xung Monostable) I2 = 1,9/RS , (RS = R4+R5) VCC = 8 ữ 22V Pttmax= 500 mW Vin = 0,2Vữ +VCC 112 tRI vf inout = Các điện trở phải dùng loại chính xác cao có sai số: (0,5 ữ 1)% . Các tụ đ−ợc dùng lμ loại Mylar hay mica. Nguồn cung cấp phải lấy từ nguồn ổn áp chất l−ợng cao. IC nμy có ngõ ra cực thu hở. Muốn biên độ tín hiệu ra bằng bao nhiêu ta thiết kế chọn Vlogic thích hợp bằng cách thay đổi RL. 5.2.2 Khảo sát IC VF-9400 12 3 4 5 6 8 9 12 VF-9400 11 14 10 7 .1 +5V Cin CREF 9.09K 250K R1 500K +5V -5V R210K 50K .1 4.7K 4.7K -5V fout/2 fout Vin Hình 5.3 64 Đặc điểm: - Hoạt động với nguồn cung cấp ±5V - Ngõ vμo lμ một OPAMP dùng kỹ thuật MOSFET hoạt động nh− một bộ tích phân. - VF 9400 đ−ợc thiết kế sao cho dòng điện vμo Iin: (0 ữ 10)μA - Điện trở bên ngoμi 250K, 9.09K ấn định tầm hoạt động với dòng điện vμo định mức thích hợp với vin nμo đó. Ta có thể thực hiện các tầm điện áp khác nhau bằng cách chỉnh biến trở đẻ mỗi tầm thay đổi một Rin. - Tụ CREF (Reference) ảnh h−ởng trực tiếp đến đặc tính chuyển mạch do đó phải có độ ổn định cao, hệ số nhiệt độ thấp vμ độ hấp thu môi tr−ờng thấp. - Tụ Cin đ−ợc chọn từ (3 ữ 10)CREF. - Chân 7 nối trực tiếp đến nguồn –5V để tạo nên điện áp chuẩn vì vậy điện áp cung cấp phải có độ chính xác vμ ổn định cao. - Ngõ ra lμ dạng cực thu hở với BJT bên trong lμ loại NPN với hai ngõ ra lμ fout vμ fout/2. - Điện áp cung cấp giữa chân 14 vμ 4 không đ−ợc v−ợt quá 18V. 5.2.3 Khảo sát IC AD537 13 8 1 5 14 11 12 9 AD 537 4 3 10 +15V Vlogic fout 5K .01 1000p C Rin 1K 1.09K 2K Rs Hình 5.4 Vi 65 - IC chuyển đổi AD 537 lμ một dạng xuất hiện khá phổ biến trong điện tử công nghiệp, nó đ−ợc thiết kế từ một mạch dao động đa hμi ghép cực phát, đ−ợc điều chỉnh bằng nguồn dòng. - Thuận lợi của nó lμ fout có dạng xung vuông rất lý t−ởng độ phi tuyến lμ 0,05% trên toμn bộ tầm hoạt động. - foutmax = 100KHz. - Rin vμ C quyết định tầm điện áp nhập cần chuyển đổi. - AD 537 tiêu thụ dòng tối đa 200 mA. - Hai chân 6, 7 (không dùng trong mạch) đ−ợc sử dụng với mục đích đo nhiệt độ trong đó chân 7 phải đ−ợc nối đến nguồn điện áp chuẩn 1V. - Chân 6 lμ nguồn điện áp đ−ợc lấy từ bộ cảm biến nhiệt độ. Lúc đó ngõ ra sẽ có điện áp tuyến tính theo nhiệt độ với chân 6 nhận điện áp có đặc tính 1mV/10K - 2K lμ biến trở loại POT-LIN. 5.3 Bộ chuyển đổi F → V 1. Hầu hết các IC chuyển đổi V→ F đều có tính thuận nghịch, tùy theo mỗi IC, dạng biến đổi nμy khác nhau. Mạch sửa dạng MonoStable RC fin I2 Rf t1 C Vout Hình 5.5 *Mạch sửa dạng: nhằm tạo ra dạng sóng thích hợp để điều khiển mạch đơn ổn. Điện áp đầu ra sẽ tỷ lệ với tần số đầu vμo fin, điện trở Rf nguồn dòng I2 vμ thòi gian t1. *Mạch đơn ổn (Monostable): Nhằm tạo ra xung có độ rộng t1, trong thời gian nμy nguồn dòng I2 mở. vout = fin.Rf.I2.t1 66 5.4 Một số vi mạch chuyển đổi f sang v 5.4.1 Khảo sát IC chuyển đổi F → V RC4151 1 3 2 45 6 7 8 RC4151 R0 6.8K C0 .01 10K 10K 5K +15V RS 14K CB RB V0 .022 fin Hình 5.6 10K Mạch biến đổi F → V RC4151 có các đặc tính sau đây: vo = fin.RB.I2.t1 Trong đó: I2 = 1,9/Rs, I2 ≤ 140 μA, t1 = 1,1R0C0 . Khi fin = 10 KHz → vout= 10V, độ phi tuyến 1% vout tỷ lệ với fin. 5.5 ứng dụng các bộ chuyển đổi trong TBTP 5.5.1 Bộ nhân vμ chia tần số F/V V/F K R K’’ V1 V2 f2 f1 K’ Hình 5.7 Tần số f2 ở đầu ra (f2= K1f1) vμ K1 có thể (K1>1 hay K1<1) tùy thuộc vμo biến trở R. Một đặc điểm của mạch nhân vμ chia tần số nμy so với các nguyên tắc tr−ớc đây lμ K có thể lμ 1 số lẻ (thập phân) vμ tùy thuộc vμo biến trở R. 67 5.5.2 Bộ tách sóng pha VO F/V F/V f1 f2 K K V1 V2 R R VO = (V2-V1) = K(f2-f1) Hình 5.8 R R Điện áp ra của bộ tách sóng pha: v0= (v2-v1)=K(f2-f1) 5.5.3 Mạch điều chế FM R1 R2 K V/F Mạch lọc Vi Vout VREF Trong đó VREF : nguồn điện áp chuẩn Vi : nguồn tín hiệu vμo R2: chỉnh tần số trung tâm Dùng mạch đệm Opamp để loại bỏ dòng vμo V/F, từ đó mới tính đ−ợc fIF vμ Δf. ffV RR KRV RR KRf IFiREFout Δ±=+++= 21 2 21 1 68 5.5.4 Điều chế FSK (Frequency Shift Key) V/F Mạch lọc VREF Vi R1 Mạch đệm K fout R2 FSK 1 0 1 1 R3 R4 C V1 Vout Điều chế FSK đ−ợc sử dụng rộng rãi trong truyền thông tin số. Về cơ bản nó đ−ợc mã hoá 2 trạng thái cơ bản 0-1. Các tần số f1, f2 nμy không cần có độ phân cách cao. Hình vẽ trên trình bμy mạch điều chế FSK với ngõ vμo có 2 trạng thái 0, 1, t−ơng ứng ở đầu ra 2 tần số f1, f2. Hai điện trở R1 vμ R2 dùng để ấn định f1 vμ f2. Đầu ra của bộ chuyển đổi, tín hiệu đ−ợc biến thμnh hình sine nhờ 1 bộ lọc, để có chất l−ợng cao thì có thể sử dụng bộ lọc dạng vi mạch. Từ đó tín hiệu đ−ợc truyền trên dây điện thoại hoặc có thể l−u dữ trên băng cassette nhờ biến thμnh tín hiệu sine đó. Trong tr−ờng hợp nμy thì ta nên dùng bộ chuyển đổi có độ chính xác cao ví dụ VF 9400 hay AD 537. Vi = 0 ⇒ 1 21 1 fV RR KRf REFout =+= Vi = 1 ⇒ 2 21 2 21 1 fV RR KRV RR KRf iREFout =+++= Suy ra f2 > f1 Chuỗi xung từ đầu ra của bộ V- F qua mạch lọc nh− hình vẽ với độ rộng xung thay đổi, suy ra V0ut có dạng sine Điều kiện thời hằng τ = RC >>. . Nếu thay bộ lọc thông thấp ở trên bằng L, C thì dạng sine chuẩn hơn. . Khi cho Vi = 0 ⇒ V0 sẽ có tần số f1 69 . Khi cho Vi = 1 ⇒ V0 sẽ có tần số f2 > f1 10 0 5.5.5 Giải điều chế FSK Tr−ớc tiên để giảm nhiễu, đầu vμo ta dùng bộ lọc dải thông từ f1 đến f2. Bộ giải mã FSK nhận tín hiệu có 2 tần số f1, f2, qua mạch tách điểm 0 để sửa dạng tín hiệu, sau đó đi qua mạch chuyển đổi F-V vμ nhờ bộ so sánh với mức điện áp chuẩn để tìm lại đ−ợc tín hiệu có 2 mức 0-1. Lọc Tách điểm 0 F/V So sánh f1 f2 Vch 5.5.6 L−u trữ dữ kiện trên băng cassette Dữ kiện số có thể l−u trữ trên băng cassette bằng cách sử dụng các bộ biến đổi V-F. ở các bộ điều chế: các ngõ vμo từ 0 đến 5V. Dữ liệu nμy đ−ợc đ−a vμo bộ V-F với tần số lμm việc từ 5KHz đến 10KHz, qua bộ chia vμ bộ lọc thông thấp vμ ghi vμo băng từ. ở quá trình chuyển đổi ng−ợc lại ta lấy đ−ợc dữ liệu nguyên thủy, qua bộ giải mã vμ lấy lại tín hiệu. Trong tr−ờng hợp muốn l−u trữ dữ liệu số ta dùng các bộ biến đổi V-F nh− bộ điều chế FSK. 70 Ghi Lên Băng V/F : 2 Lọc thông thấp R2 R1 Vi So sánhF/VTách điểm 0 A A VREF Trong tr−ờng hợp chúng ta ghi nhiều dữ liệu trên băng từ thì sẽ có nhiều bộ chuyển FSK t−ơng ứng. GHI Phát FSK 1 FSK 2 Demod FSK 1 Demod FSK 2 Vi1 Vi2 Trong tr−ờng hợp truyền dẫn tínhiệu trên nhiều kênh điện thoại, khi sử dụng các bộ chuyển đổi F-V vμ V-F cần phải sử dụng thêm các bộ lọc để loại bỏ các loại nhiễu trên đ−ờng dây vμ thông th−ờng ph−ơng pháp nμy rất thích hợp cho dải tần số từ 300Hz đến 3kHz. Ph−ơng pháp xử lý tín hiệu qua bộ điều chế vμ giải điều chế FSK cũng t−ơng tự nh− l−u trữ trên băng cassette. 71 Ch−ơng 6 Vòng khoá pha PLL trong điện tử thông tin 6.1 Tổng quan về Vòng khoá pha (Phase Locked Loop - PLL) Vòng khoá pha PLL lμ hệ thống vòng kín hồi tiếp, trong đó tín hiệu hồi tiếp dùng để khoá tần số vμ pha của tín hiệu ra theo tần số vμ pha tín hiệu vμo. Tín hiệu vμo có thể có dạng t−ơng tự hình sine hoặc dạng số. ứng dụng đầu tiên của PLL vμo năm 1932 trong việc tách sóng đồng bộ. Ngμy nay, nhờ công nghệ tích hợp cao lμm cho PLL có kích th−ớc nhỏ, độ tin cậy cao, giá thμnh rẻ, dễ sử dụng. Kỹ thuật PLL đ−ợc ứng dụng rộng rãi trong các mạch lọc, tổng hợp tần số, điều chế vμ giải điều chế, điều khiển tự động v.v... Có hμng chục kiểu vi mạch PLL khác nhau, một số đ−ợc chế tạo phổ thông đa dạng, một số đ−ợc ứng dụng đặc biệt nh− tách âm (Tone), giải mã Stereo, tổng hợp tần số. Tr−ớc đây đa phần PLL bao gồm cả mạch số lẫn t−ơng tự. Hiện nay PLL số trở nên phổ biến. 6.2 Sơ đồ khối Tách sóng pha Lọc thông thấp khuếch đại một chiều VCO vi(t), fi vd(t) vdk(t) fN Hình 6.1 Sơ đồ khối của vòng giữ pha PLL vdc(t) vo(t), fo + Tách sóng pha: so sánh pha giữa tín hiệu vμo vμ tín hiệu ra của VCO để tạo ra tín hiệu sai lệch Vd(t) 72 + Lọc thông thấp: lọc gợn của điện áp Vd(t) để trở thμnh điện áp biến đổi chậm Vdc(t) vμ đ−a vμo mạch khuếch đại một chiều + Khuếch đại một chiều: khuếch đại điện áp một chiều Vdk(t) để đ−a vμo điều khiển tần số của mạch VCO + VCO (Voltage Controled Oscillator): bộ dao động mμ tần số ra đ−ợc điều khiển bằng điện áp đ−a vμo. 6.3 Hoạt động của mạch 6.3.1 Nguyên lý hoạt động Vòng khoá pha hoạt động theo nguyên tắc vòng điều khiển mμ đại l−ợng vμo vμ ra lμ tần số vμ chúng đ−ợc so sánh với nhau về pha. Vòng điều khiển pha có nhiệm vụ phát hiện vμ điều chỉnh những sai số nhỏ về tần số giữa tín hiệu vμo vμ ra. Nghĩa lμ PLL lμm cho tần số của tín hiệu VCO bám theo tần số của tín hiệu vμo. of if Khi không có tín hiệu vi ở ngõ vμo, điện áp ngõ ra bộ khuếch đại Vdc(t) =0, bộ dao động VCO hoạt động ở tần số tự nhiên fN đ−ợc cμi đặt bởi điện trở, tụ điện ngoμi. Khi có tín hiệu vμo vi , bộ tách sóng pha so sánh pha vμ tần số của tín hiệu vμo với tín hiệu ra của VCO. Ngõ ra bộ tách sóng pha lμ điện áp sai lệch Vd(t), chỉ sự sai biệt về pha vμ tần số của hai tín hiệu. Điện áp sai lệch Vd(t) đ−ợc lọc lấy thμnh phần biến đổi chậm Vdc(t) nhờ bộ lọc thông thấp LPF, khuếch đại để thμnh tín hiệu Vdk(t) đ−a đến ngõ vμo VCO, để điều khiển tần số VCO bám theo tần số tín hiệu vμo. Đến khi tần số f0 của VCO bằng tần số fi của tín hiệu vμo, ta nói bộ VCO đã bắt kịp tín hiệu vμo. Lúc bấy giờ sự sai lệch giữa 2 tín hiệu nμy chỉ còn lμ sự sai lệch về pha mμ thôi. Bộ tách sóng pha sẽ tiếp tục so sánh pha giữa 2 tín hiệu để điều khiển cho VCO hoạt động sao cho sự sai lệch pha giữa chúng giảm đến giá trị bé nhất. a/ Dải bắt b/ Dải khóa BL = fmax – fmin fN fmin fmax BC = f2 – f1 f1 f2 fN BC = f2 – f1 f1 f2 Hình 6.2 Dải bắt vμ dải khóa của PLL 73 Dải bắt BC (Capture range): ký hiệu BC=f2- f1, lμ dải tần số mμ tín hiệu vμo thay đổi nh−ng PLL vẫn đạt đ−ợc sự khoá pha, nghĩa lμ bộ VCO vẫn bắt kịp tần số tín hiệu vμo. Nói cách khác, lμ dải tần số mμ tín hiệu vμo ban đầu phải lọt vμo để PLL có thể thiết lập chế độ đồng bộ (chế độ khóa). BC phụ thuộc vμo băng thông LPF. Để PLL đạt đ−ợc sự khóa pha thì độ sai lệch tần số (fi - fN) phải nằm trong băng thông LPF. Nếu nó nằm ngoμi băng thông thì PLL sẽ không đạt đ−ợc khóa pha vì biên độ điện áp sau LPF giảm nhanh. (fi– fN) trong băng thông LPF đồng bộ đ−ợc (fi– fN) ngoμi băng thông LPF, không đồng bộ đ−ợc Điện áp sau LPF f Hình 6.3 Điện áp sau bộ lọc thông thấp Giả sử mạch PLL đã đạt đ−ợc chế độ khoá, VCO đã đồng bộ với tín hiệu vμo. Bây giờ ta thay đổi tần số tín hiệu vμo theo h−ớng lớn hơn tần số VCO thì VCO sẽ bám theo. Tuy nhiên khi tăng đến một giá trị nμo đó thì VCO sẽ không bám theo đ−ợc nữa vμ quay về tần số tự nhiên ban đầu của nó. Ta lμm t−ơng tự nh− trên nh−ng thay đổi tần số tín hiệu vμo theo h−ớng nhỏ hơn tần số VCO. Đến một giá trị nμo đó của tần số tín hiệu vμo thì VCO sẽ không bám theo đ−ợc nữa vμ cũng trở về tần số tự nhiên của nó. Dải giá trị tần số từ thấp nhất đến cao nhất đó của tín hiệu vμo đ−ợc gọi lμ dải khoá. Từ đó ta định nghĩa: Dải khóa BL (Lock range): ký hiệu BL=fmax- fmin, lμ dải tần số mμ PLL đồng nhất đ−ợc tần số f0 với fi. Dải nμy còn gọi lμ đồng chỉnh (Tracking range). Các tần số fmax, fmin tần số cực đại vμ cực tiểu mμ PLL thực hiện đ−ợc khóa pha (đồng bộ). Dải khóa phụ thuộc hμm truyền đạt (độ lợi) của bộ tách sóng pha, khuếch đại, VCO. Nó không phụ thuộc vμo đáp tuyến bộ lọc LPF vì khi PLL khóa pha thì fi- f0 = 0. Khi PLL ch−a khóa pha: fi ≠ f0. Khi PLL khóa pha: fi = f0. ở chế độ khóa pha, dao động f0 của VCO bám đồng bộ theo fi trong dải tần khóa BL rộng hơn dải tần bắt BC. 74 Ví dụ: VCO của một vòng khoá pha PLL có tần số tự nhiên bằng 12MHz. Khi tần số tín hiệu vμo tăng lên từ giá trị 0Hz thì vòng PLL khoá tại giá trị 10MHz. Sau đó tiếp tục tăng thì nó sẽ bị mất khoá pha tại 16MHz. 1. Hãy tìm dải bắt vμ dải khoá. 2. Ta lặp lại các b−ớc trên nh−ng bắt đầu với tần số tín hiệu vμo có giá trị rất cao, sau đó giảm dần. Hãy tính các tần số mμ PLL thực hiện khoá pha vμ mất khoá pha. BL = fmax – fmin fN fmin fmax BC = f2 – f1 f1 f2 8 10 1412 16 MHz Hình 6.4 Dải bắt vμ dải khoá của PLL 1. Dải bắt: BC = f2 – f1=2(12-10)=4MHz Dải khoá: BL = fmax – fmin=2(16-12)=8MHz 2. Đáp ứng của vòng PLL có tính đối xứng, nghĩa lμ tần số tự nhiên tại trung tâm của dải khoá vμ dải bắt. Do đó, khi giảm tần số tín hiệu vμo đến 14MHz thì PLL sẽ bắt đầu thực hiện khoá pha (VCO bám đuổi tín hiệu vμo). Tiếp tục giảm tần số tín hiệu vμo thì đến giá trị 8MHz PLL bắt đầu mất khoá pha (VCO không bám còn bám đuổi tín hiệu vμo đ−ợc nữa). 6.3.2 Tính chất của PLL tuyến tính Giả sử tín hiệu vμo bộ PLL vμ tín hiệu ra của mạch VCO lμ các tín hiệu hình sine có dạng: tVtv iii ωsin)( = , )sin()( oooo tVtv ϕω += oϕ lμ pha ban đầu của vo(t) ooi tt ϕωωϕ −−= )()( : độ lệch pha giữa vi(t) vμ vo(t) od KKK ,, : lần l−ợt lμ hệ số truyền đạt của bộ tách sóng pha, bộ lọc thông thấp+khuếch đại một chiều vμ bộ VCO. 75 Tách sóng pha Lọc thông thấp khuếch đại một chiều VCO vi(t), ωi vd(t) vdk(t) ωN Hình 6.1 Sơ đồ khối của vòng giữ pha PLL vdc(t) vo(t), ωo Trong dải khoá, PLL lμ một mạch điều khiển tuyến tính. Theo các giả thiết ở trên, ta có điện áp ra của bộ tách sóng pha nh− sau: ])cos[(]){cos[( 2 )sin(sin)()()( ooiooi oi ooioioid ttVKV ttVKVtvtKvtv ϕωωϕωω ϕωω ++−−−= =+== (6.1) Khi tần số giới hạn của bộ lọc thông thấp thấp hơn rất nhiều so với )( 2 1 oi ωωπ + thì có thể bỏ qua thμnh phần tần số tổng trong biểu thức (6.1) vμ ta có điện áp điều khiển đ−a đến bộ VCO: )(cos)]([ 2 ])cos[()]([ 2 )( tjGVVKK tjGVVKKvKvtv oi oi d ooioi oi doidk ϕωω ϕωωωω −= =−−−== (6.2) Trong đó: )]([ oijG ωω − : Module của hμm truyền đạt của bộ lọc Xung quanh điểm lμm việc tĩnh, tần số VCO tỉ lệ tuyến tính với điện áp điều khiển vdk. Do đó, ta có thể viết: dkoNo vK=−ωω (6.3) Trong đó: Nω : lμ tần số dao động tự nhiên của VCO (t−ơng ứng với vdk=0). Trong dải bắt, khi iω = hằng số thì hiệu pha giữa vi vμ vo cũng không thay đổi vμ bằng oϕ vì oi ωω = . Do đó, từ (6.2) ta suy ra: 76 o oi ddk VV KKv ϕcos 2 = (6.4) Điện áp điều khiển vdk lμ điện áp một chiều, lμm cho tần số VCO thay đổi một l−ợng: NiNo fffff −=−=Δ (6.5) Hay dkoNo vK=−=Δ ωωω (6.6) Thay (6.4) vμo (6.6) vμ giả thiết 0=oϕ ta tính đ−ợc độ lệch tần tối đa: 2 oi doL VV KKK=Δω (6.7) Suy ra: oidoL VKVKK=Δω2 BL = fmax - fmin fN fmin fmax BC = f2 - f1 f1 f2 fN BC = f2 - f1 f1 f2 Hình 6.2 Dải bắt vμ dải khóa của PLL Nghĩa lμ tần số của VCO chỉ có thể bám theo tần số vμo trong dải Lo ωω Δ± với điều kiện tr−ớc đó mạch đã hoạt động (đã ở trong dải khoá). Vì vậy LωΔ2 hay đ−ợc gọi lμ dải khoá của PLL. Nó đ−ợc phân bố đối xứng với tần số dao động tự do của VCO vμ nh− đã nói, nó không phụ thuộc vμo dải thông của bộ lọc. LL fffB Δ=−= 212 Nf Dải bắt có thể tính đ−ợc nh− sau: Nếu tách mạch điều khiển ở đầu vμo VCO thì tần số ra lμ . Điện áp điều khiển cực đại (khi đóng mạch) đ−a đến VCO đ−ợc tính theo biểu thức (6.2) No ff = )([ 2 oi oi ddk jG VV KKv ωω −= (6.8) Điện áp nμy lμm tần số VCO thay đổi một l−ợng: )([ 2 * oi oi dodko jG VV KKKvK ωωω −==Δ (6.9) 77 Sao cho ở đầu ra bộ tách sóng pha có tần số: (6.10) *' ωωωωω Δ±−=− Nioi Từ (6.10) ta có dải bắt của PLL tuyến tính: )(22 * CoidoC jGVKVKK ωωω Δ≈Δ=Δ (6.11) LωΔ CωΔ Nω dkv iω Dải bắt LωΔ Nω dkv iω Dải Khoá CωΔ Hình 6.5b. Cơ chế khoá vμ bắt của PLL Cũng nh− lý luận ở phần trên, theo hình 6.5b. tần số ra của PLL chỉ bám theo tần số vμo khi Loi ωωω Δ<− ' với điều kiện PLL đã hoạt động trong dải bắt. Vμ khi Coi ωωω Δ<− ' nếu tr−ớc đó PLL ch−a nằm trong dải bắt. Nhờ cơ chế khoá vμ bắt nên PLL có tính chọn lọc theo tần số. 6.3.2 Các thμnh phần của PLL 6.3.2.1 Bộ tách sóng pha (Phase Detector): còn gọi lμ bộ so sánh pha. Có ba loại tách sóng pha: 78 1. Loại t−ơng tự ở dạng mạch nhân có tín hiệu ra tỷ lệ với biên độ tín hiệu vμo. 2. Loại số thực hiện bởi mạch số EX-OR, RS Flip Flop v.v... có tín hiệu ra biến đổi chậm phụ thuộc độ rộng xung ngõ ra tức lμ phụ thuộc sai lệch về pha giữa hai tín hiệu vμo. 3. Loại tách sóng pha lấy mẫu. 1/ Bộ tách sóng pha t−ơng tự: X LPF vi = Asin(ωit + θi) Vd(t) Vdc(t) vi = 2cos(ω0t + θ0) Hình 6.5 Nguyên lý hoạt động của bộ tách sóng pha t−ơng tự Bộ đổi tần hay mạch nhân thực hiện nhân hai tín hiệu. Ngõ ra của nó có điện áp: )]()sin[()]()sin[()( 0000 θ+θ+ω+ω+θ−θ+ω−ω= iiiid tAtAtV Qua bộ lọc thông thấp LPF, chỉ còn thμnh phần tần số thấp. Khi khóa pha (ωi=ω0) có Vd = Asin (θi-θ0). Điện áp nμy tỷ lệ với biên độ điện áp vμo A vμ độ sai pha θe=θI- θ0. Nếu θe nhỏ, hμm truyền đạt của bộ tách sóng pha coi nh− tuyến tính. Dải khóa giới hạn trong |θe|<π/2. Ta có độ lợi tách sóng pha kφ tính đ−ợc theo công thức: kφ = A (V/radian) Vd θe (Radian) A -A π/2 -π/2 Asin(θe) 2/ Bộ tách sóng pha số: Dùng mạch số EX-OR, R-S Flip Flop v.v... có đáp tuyến so sánh pha dạng: Hình 6.6 Hμm truyền đạt của bộ tách sóng pha t−ơng tự 79 VBd θe (radian) A -A π/2 -π/2 Hình 6.7 Hμm truyền đạt của bộ tách sóng pha số Đáp tuyến tuyến tính trong khoảng |θe|≤π/2. Độ lợi tách sóng pha: kφ = A/(π/2) = 2A/π Tách sóng pha số EX-OR vμ đáp tuyến: Vd Vd π/2 π 2π0 θeθe Tách sóng pha số dùng R-S Flip Flop vμ đáp tuyến: Vd Điện áp sai lệch biến đổi chậm Vd tại ngõ ra bộ tách sóng pha số tỷ lệ với độ rộng xung ngõ ra tức lμ tỷ lệ độ sai lệch về pha θe (hay tần số tức thời) của hai tín hiệu vμo. 6.3.2.2 Lọc thông thấp LPF S R Q Vd θe Vce θe 2π 0 Rf C R1R C 80 LPF th−ờng lμ mạch lọc bậc 1, tuy nhiên cũng dùng bậc cao hơn để triệt thμnh phần AC theo yêu cầu. LPF có thể ở dạng mạch thụ động hay tích cực. Ngõ ra bộ tách sóng pha gồm nhiều thμnh phần f0, fi, fi-f0, fi+f0, v.v... Sau LPF chỉ còn thμnh phần tần số rất thấp (fi-f0) đến bộ khuếch đại để điều khiển tần số VCO bám theo fi. Sau vμi vòng điều khiển hồi tiếp PLL đ−ợc đồng bộ (khóa pha) fi=f0, tần số phách (fi-f0)=0. Vòng khóa pha hoạt động chính xác khi tần số vμo fi, f0 thấp khoảng vμi trăm KHz trở lại. 6.3.2.3 Khuếch đại một chiều Khuếch đại tín hiệu biến đổi chậm (DC) sau bộ lọc thông thấp LPF. Độ lợi khuếch đại kA. Rf Rf R1 Vd R1 Vd V0 kA = -Rf/R1 RE Rc kA = -RC / (RE + re) Hình 6.8 Khuếch đại một chiều kA = 1 + Rf/R1 6.3.2.4 VCO (Voltage controlled oscillator) Lμ mạch dao động có tần số đ−ợc kiểm soát bằng điện áp . Yêu cầu chung của mạch VCO lμ quan hệ giữa điện áp điều khiển Vdk(t) vμ tần số ra fo(t) phải tuyến tính. Ngoμi ra mạch còn có độ ổn định tần số cao, dải biến đổi của tần sô theo điện áp vμo rộng, đơn giản, dễ điều chỉnh vμ thuận lợi cho việc tổ hợp thμnh vi mạch (không có điện cảm). 81 +Vcc -Vcc Vo, B fo Rc Rc C C R R Vdk Hình 6.9 Mạch VCO tiêu biểu Về nguyên tắc có thể dùng mọi mạch dao động lμ tần số dao động có thể biến thiên đ−ợc trong phạm vi %% 5010 ±ữ± xung quanh tần số dao động tự do. Tuy nhiên các bộ dao động tạo xung chữ nhật đ−ợc sử dụng rộng rãi vì loại nμy có thể lμm việc trong phạm vi tần số khá rộng (từ 1MHz đến khoảng 100MHz). Trong phạm vi từ 1MHz đến 50MHz th−ờng dùng các mạch dao động đa hμi. Hình 6.9 biểu diễn một mạch VCO dao động đa hμi tiểu biểu. Khi nối đầu đIều khiển Vdk với Vcc thì đây lμ một mạch dao động đa hμi thông th−ờng, khi tách ra vμ đặt điện áp đIều khiển Vdk vμo đầu đó thì tần số dãy xung ra biến thiên theo điện áp Vdk. Miền lμm việc fo [KHz] Vdk [v] 1,1 1,0 0,9 -5 0 5 Hình 6.10 Đặc tuyến truyền đạt fo(Vdk) tiêu biểu của VCO 82 Cụ thể nếu Vdk tăng thì thời gian phóng nạp của tụ giảm do đó tần số ra tăng vμ ng−ợc lại. Ta có đặc tuyến truyền đạt fo(Vdk) đ−ợc biểu diễn nh− hình 6.10 Ví dụ: V0 f0 (KHz) -2 -1 0 1 2 140 fN 100 60 Đặc tuyến truyền đạt của 1 VCO có dạng nh− hình vẽ. Khi điện áp vμo VCO bằng 0, tần số dao động tự do lμ fN. Khi điện áp điều khiển thay đổi một l−ợng ΔV0, tần số ra thay đổi một l−ợng Δf0. Độ lợi chuyển đổi V to f của VCO: k0= Δf0/ΔV0 (Hz/V) Tần số fN ở giữa vùng tuyến tính đáp tuyến. Ví dụ khi điện áp vμo thay đổi từ 1V đến –1V, tần số tăng từ 60KHz đến 140KHz. Độ lợi chuyển đổi (hay độ nhạy k0): VKHz V KHz V fk /40 )]1(1[ )14060( 0 0 0 −=−− −=Δ Δ= 6.4 Ưng dụng của vòng khoá pha PLL 6.4.1 Bộ tổng hợp tần số đơn Nh− đã đề cập trong các ch−ơng tr−ớc, trong các máy phát hoặc các máy thu đổi tần cần có các mạch dao động có thể thay đổi tần số để phát hoặc thu các kênh khác nhau. Tr−ớc đây, ng−ời ta thực hiện thay đổi tần số mạch dao động LC bằng cách thay đổi giá trị của L hoặc C. Lúc đó chúng đ−ợc gọi lμ các mạch dao động có thể thay đổi tần số VFO (Variable-frequency Oscillators). Tuy nhiên, mạch dao động th−ờng không có độ ổn định cao trong một dải tần số rộng do giá trị của L vμ C th−ờng thay đổi theo nhiệt độ, độ ẩm vμ các tác nhân khác. Đồng thời chúng th−ờng cồng kềnh vμ giá thμnh cao. 83 Việc sử dụng thạch anh trong mạch dao động có thể tăng độ ổn định tầ

Các file đính kèm theo tài liệu này:

  • pdfgiao_trinh_he_thong_thong_tin_dien_tu_chuong_4_ung_dung_vari.pdf
Tài liệu liên quan