Tóm tắt Luận án Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng

Trên cơ sở các nghiên cứu và phân tích trong luận án, hướng

nghiên cứu tiếp theo là:

- Tiến hành đánh giá độ an toàn của các thuật toán phát

triển dựa trên nhiều kiểu tấn công đa dạng hơn.

- Đánh giá chi tiết hiệu quả tích hợp của các thuật toán

phát triển thông qua nhiều mô hình thử nghiệm.

- Nghiên cứu, tích hợp họ thuật toán và nguyên thủy mật

mã mới vào các giao thức bảo mật dữ liệu thời gian

thực, từ đó làm cơ sở thiết kế, chế tạo các thiết bị bảo

mật dữ liệu thời gian thực chuyên dụng dùng trong lĩnh

vực an ninh, quốc phòng

pdf26 trang | Chia sẻ: honganh20 | Ngày: 02/03/2022 | Lượt xem: 360 | Lượt tải: 0download
Bạn đang xem trước 20 trang tài liệu Tóm tắt Luận án Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
c nền tảng phần cứng chuyên dụng dạng VLSI (FPGA, ASIC) làm cơ sở nền tảng cho thiết kế, chế tạo các thiết bị bảo mật dữ liệu thời gian thực trên mạng IP. 2 Để đạt được các mục tiêu nghiên cứu trên, các nhiệm vụ nghiên cứu trong quá trình thực hiện luận án được xác định bao gồm (1) Tổng quan về giải pháp bảo mật dữ liệu thời gian thực trên mạng IP. (2) Cải tiến về độ an toàn một thuật toán mật mã khối phù hợp cho thiết kế thiết bị bảo mật dữ liệu thời gian thực chuyên dụng.(3) Phát triển một số thuật toán mật mã khối mới đảm bảo độ an toàn và có hiệu quả tích hợp trên FPGA cao để ứng dụng cho thiết kế thiết bị bảo mật dữ liệu thời gian thực chuyên dụng.(4) Đề xuất lớp phần tử nguyên thủy mật mã mới hiệu quả hơn, đồng thời phát triển họ thuật toán mật mã khối dựa trên lớp phần tử này.(5) Đánh giá độ an toàn của các thuật toán mật mã khối. Phương pháp nghiên cứu được sử dụng trong luận án là nghiên cứu lý thuyết kết hợp với mô phỏng và đánh giá thực nghiệm trên cơ sở một số tiêu chuẩn đánh giá trên thế giới. Luận án sẽ được bố cục thành bốn chương như sau: Chương 1: Tổng quan về giải pháp bảo mật dữ liệu thời gian thực trên mạng IP Chương 2: Cải tiến thuật toán mật mã khối Spectr-128 dùng cho bảo mật truyền dữ liệu thời gian thực Chương 3: Xây dựng một số thuật toán mã khối dựa trên các lớp nguyên thủy mật mã F2/1 và F2/2 Chương 4: Phát triển nguyên thủy mật mã F2/4 và xây dựng một số thuật toán mật mã khối Kết luận Hà nội, ngày tháng 9 năm 2017 3 CHƯƠNG 1: TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRÊN MẠNG IP 1.1. Giới thiệu Dữ liệu thời gian thực là dữ liệu không được lưu trữ hoặc lưu trữ nhưng nó được chuyển đến người dùng cuối nhanh nhất khi nó được thu thập. Xử lý dữ liệu thời gian thực không chỉ yêu cầu đảm bảo tính đúng đắn của dữ liệu mà còn yêu cầu thời gian xử lý dữ liệu nhanh, kịp thời. Các ứng dụng thời gian thực cần đảm bảo chất lượng dịch vụ của mạng để thoả mãn nhu cầu sử dụng. Các yếu tố ảnh hưởng đến chất lượng dịch vụ (QoS) truyền dữ liệu thời gian thực trên mạng IP bao gồm: Độ trễ; Jitter; Băng tần; Độ mất mát 1.2. Tổng quan về một số giao thức bảo mật dữ liệu thời gian thực 1.2.1. Giao thức bảo mật truyền thời gian thực SRTP Giao thức bảo mật truyền thời gian thực SRTP (Secure Realtime Transport Protocol)[58] cung cấp sự toàn vẹn, tính xác thực, và sự bảo mật với giao thức truyền thời gian thực RTP (Realtime Transport Protocol), và giao thức điều khiển vận chuyển thời gian thực RTCP (Realtime Transport Control Protocol). Giao thức SRTP là một chuẩn giao thức IETF được sử dụng để đảm bảo tính bảo mật trong quá trình truyền tải âm thanh, video và chia sẻ nội dung với các phương tiện truyền thông khác. Giao thức SRTP được phát triển bởi nhóm nghiên 4 cứu giao thức IP và mật mã hóa của Cisco và Ericsson với tiêu chuẩn RFC 3711 được công bố bởi IETF vào tháng 3 năm 2004. 1.2.2. Giao thức bảo mật IPSec Giao thức bảo mật IPSec là giao thức bảo mật lớp mạng. Giao thức này có thể gửi và nhận những gói (TCP, UDP, ICMP, ) được bảo vệ bởi các biện pháp mật mã hóa mà không sửa nội dung của gói . Giao thức này cung cấp khả năng mật mã hóa giao thức lớp cao hơn và chứng thực mỗi gói IP. Giao thức IPSec bao gồm quá trình trao đổi khóa, xử lý chính sách bảo mật, liên kết tính bảo mật và hai giao thức AH (mào đầu chứng thực) và giao thức ESP (giao thức bảo vệ tải trọng) của gói IP. 1.3. Tổng quan về tình hình nghiên cứu thuật toán mật mã khối 1.3.1. Tổng quan tình hình nghiên cứu ngoài nước Thuật toán mật mã khối là một phần quan trọng trong sản phẩm mật mã, việc tích hợp thuật toán mật mã khối vào các sản phẩm mật mã mang lại nhiều lợi ích, đa số các quốc gia và tổ chức quốc tế rất quan tâm đến việc nghiên cứu phát triển thuật toán mật mã khối. Tại Mỹ có các thuật toán: AES, DES,.... Tại Nga: thuật toán mã khối GOST 28147-89.... Tại Nhật, sử dụng chuẩn mã hóa dữ liệu Camellia. Tại các quốc gia khác, các sản phẩm bảo mật được phát triển dựa trên thuật toán DES, AES, có thể có các thuật toán mã khối riêng sử dụng để đảm bảo bí mật 5 thông tin trong an ninh - quốc phòng nhưng được giữ bí mật không công bố 1.3.2. Tổng quan tình hình nghiên cứu trong nước Trong nước hiện nay chỉ có Ban Cơ yếu Chính phủ nghiên cứu về các thuật toán mật mã khối dùng trong lĩnh vực an ninh, quốc phòng. Nhà nước ta cũng chưa công bố chuẩn thuật toán mật mã khối quốc gia sử dụng trong kinh tế - xã hội mà vẫn sử dụng các chuẩn mật mã quốc tế như AES. 1.4. Hướng nghiên cứu của luận án Dựa trên các nghiên cứu về thuật toán mật mã khối và các phương pháp thực hiện thuật toán, chúng ta nhận thấy rằng: Các thuật toán mật mã hiện nay đang sử dụng để bảo mật cho dữ liệu thời gian thực trên mạng IP chưa được thiết kế riêng để phù hợp cho những ứng dụng chuyên biệt như ứng dụng thời gian thực. Các thuật toán thường được thiết kế cho tích hợp được cả trên phần cứng và phần mềm nên khi sử dụng các thuật toán này cho thiết kế các thiết bị mật mã chuyên dụng thì các thuật toán không chạy với hiệu năng cao nhất. Do đó, làm giảm chất lượng dịch vụ. Từ đó, luận án tập trung nghiên cứu, phát triển thuật toán mật mã khối mới có khả năng tích hợp cao trên các nền tảng phần cứng chuyên dụng FPGA, làm cơ sở để thiết kế các thiết bị mật mã chuyên dụng để bảo mật dữ liệu thời gian thực trên mạng IP. 1.5. Một số cơ sở lý thuyết trong phát triển thuật toán mật mã khối 6 Một số cơ sở lý thuyết : Hàm logic (hàm boole); Mật mã khối: Phương pháp thiết kế mật mã khối, Tấn công mật mã khối; 1.6. Nguyên lý thiết kế mạng chuyển vị thay thế điều khiển được (CSPN) - Lớp phần tử nguyên thủy mật mã điều khiển được P2/1 - Lớp phần tử nguyên thủy mật mã điều khiển được F2/1 - Lớp phần tử nguyên thủy mật mã điều khiển được F2/2 - Kiến trúc mạng chuyển vị-thay thế điều khiển được Fn/m. 1.7. Kết luận chương Chương 1 đã trình bày tổng quan dữ liệu thời gian thực, về tình hình nghiên cứu thuật toán mật mã khối, các phương pháp thực hiện thuật toán mật mã khối, một số cơ sở toán học trong thiết kế thuật toán mật mã khối từ đó xác định hướng nghiên cứu, xây dựng thuật toán mật mã khối phù hợp, hiệu quả chạy trên nền tảng phần cứng FPGA nhằm hạn chế ảnh hưởng của thuật toán mật mã khối vào chất lượng dịch vụ dữ liệu thời gian thực. Dựa trên các phân tích tổng quan ở chương 1, đề tài luận án đề xuất các nội dung nghiên cứu thực hiện tại các chương tiếp theo như sau: - Cải tiến độ an toàn của thuật toán mật mã khối Spectr-128 nhằm ứng dụng thuật toán này trong bảo mật dữ liệu thời gian thực. - Phát triển họ thuật toán hiệu năng cao trên nền tảng phần cứng FPGA dựa trên lớp phần tử điều khiển được F2/1 và F2/2. 7 - Đề xuất mới lớp phần tử nguyên thủy mật mã mới F2/4 ; Phát triển họ thuật toán hiệu năng cao trên nền tảng phần cứng FPGA dựa trên lớp phần tử này. - Đề xuất phương án xây dựng lược đồ sinh khóa vòng “cùng bay” cho họ thuật toán đề xuất nhằm vẫn đảm bảo hiệu năng cao khi thực hiện thay đổi khóa thường xuyên và chống lại điểm yếu lớn nhất của các kiểu thuật toán dựa trên CSPN do sử dụng lược đồ sinh khóa đơn giản. - Đánh giá hiệu quả thực hiện của thuật toán trên nền tảng phần cứng FPGA. - Đánh giá độ an toàn của thuật toán theo tiêu chuẩn NESSIE và độ an toàn của thuật toán trước các tấn công thám mã tuyến tính, thám mã vi sai. Theo xu thế phát triển của thuật toán mật mã khối dựa trên CSPN, các lớp phần tử nguyên thủy mật mã sử dụng trong các thuật toán cũng phát triển từ lớp phần tử P2/1, F2/1, F2/2 cho đến F2/4. Trong đó, các lớp phần tử P2/1, F2/1, F2/2 là các lớp phần tử có sẵn, được luận án sử dụng trong cải tiến thuật toán đã có và các thuật toán mới được đề xuất. Còn lớp phần tử F2/4 là lớp phần tử mới được luận án đề xuất. Để thuận tiện cho việc so sánh đặc tính mật mã của lớp phần tử mới được đề xuất với các lớp phần tử đã có, luận án sử dụng một cấu trúc thuật toán chung cho các họ thuật toán được đề xuất. CHƯƠNG 2: TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRÊN MẠNG IP 2.1. Mô tả thuật toán mật mã khối SPECTR-128 8 2.1.1. Tiêu chí thiết kế Là thuật toán mật mã khối 128bit phù hợp cho cài đặt trên phần cứng (FPGA); Thuật toán được xây dựng dựa trên sự kết hợp của các toán tử DDP (chuyển vị phụ thuộc dữ liệu), hàm phi tuyến G và dựa trên các toán tử có tốc độ hoạt động cao; Sử dụng lược đồ khóa đơn giản để làm tăng tốc độ mã hóa trong trường hợp thường xuyên thay đổi khóa; Các toán tử DDP được thực hiện với hai CP là nghịch đảo của nhau; Các toán tử kiểu CP được xây dựng để thực hiện các biến đổi phụ thuộc dữ liệu (data-dependent transformation) của các khóa vòng [30] theo trình tự song song với của khối dữ liệu bên nhánh phải. 2.1.2. Mô tả chung thuật toán SPECTR-128 [32] là một thuật toán mật mã khối với 12 vòng mã hóa và khối dữ liệu 128bit. Sơ đồ chung của thuật toán mã hóa được mô tả theo công thức sau: Y = Encr(X, K) và X = Decr(C, K), ở đây X là bản rõ, Y là bản mã 128( {0 1} )X Y   , K là khóa bí mật 256( {0 1} )K   , Encr là thủ tục mã hóa, và Decr là thủ tục giải mã. 2.1.3. Lược đồ khóa Thủ tục mở rộng khóa Q(e) bao gồm hai khóa con 64bit ( ) ,eITQ ( ) ,eFTQ và 12 khóa vòng 256bit ( ) ( ) 1 12 e eQ Q  . SPECTR-128 không sử dụng thủ tục tính toán trước để sinh ra khóa mở rộng (EK). Các khóa con Ki (i = 1,,4) sẽ được sử dụng trực tiếp trong mỗi vòng. Trong mỗi một của 12 vòng, các khóa con được sử dụng theo các cách khác nhau. Mỗi một khóa con được 9 sử dụng ba lần trong mỗi khóa con hình thức(formal subkey) A(k) (k = 1,,4) trong thủ tục Crypt 2.1.4. Biến đổi khởi đầu và kết thúc Biến đổi khởi đầu (IT) và biến đổi cuối (FT) được định nghĩa như sau: Y = IT(X,A) và Y = FT(X,A) ở đây 128{0 1}X Y    64{0 1}A   2.1.5. Vòng mã hóa Crypt Thủ tục mã hóa có dạng: R = Crypt (R, L, A(1), A(2), A(3) and A(4)) ở đây R, L, A(1), A(2), A(3), A(4) {0,1}64. Vì vậy, thủ tục Crypt biến đổi khối dữ liệu R dưới điều khiển của khối dữ liệu L và các khóa vòng 256bit. 2.1.6. Hàm phi tuyến G Định nghĩa ánh xạ G: 64 64 64 64{0 1} {0 1} {0 1} {0 1}       như một véctơ HBY = G(L,A(3),A(4)) trong dạng sau: (3) (4) (3) (4) 1 64 1 64( ) ( ( ) ( ))y y f L A A f L A A        (2.7) ở đây fi là các HB sinh ra. 2.1.7. CP P64/192 và P 1 64/192 Biểu diễn hình thức của biến đổi xuôi P64/192 có dạng như sau: Y = P64/192(X,V), ở đây X,Y{0,1} 64, V{0,1}192, X là giá trị đầu vào, V là véctơ điều khiển. 2.1.8. Khối mở rộng E Khối mở rộng E được sử dụng để sinh ra các véctơ điều khiển 192bit cho các khối dữ liệu đầu vào 64bit. Biểu diễn hình thức của biến đổi mở rộng như sau: 10 V = (V1, V2, , V6) = E(U, A) = EA(U), (2.11) ở đây V{0,1}192; V1,V2,,V6{0,1} 32; U, A{0,1}64. 2.2. Phân tích độ an toàn của thuật toán SPECTR-128 2.2.1. Đánh giá độ an toàn đối với thám mã lượng sai Đặc trưng vi sau sau 12 vòng của thuật toán SPECTR- 128 sẽ là: P(16)  (1.42-19)6 7.52-114 và vì vậy nó chưa đủ khả năng khả năng chống lại thám mã vi sai. 2.2.2. Đánh giá độ an toàn đối với thám mã tuyến tính Trong trường hợp xấu nhất của khóa mật lựa chọn thì mười một vòng của SPECTR-128 là đủ để kháng các tấn công tuyến tính. 2.3. Cải tiến thuật toán mật mã khối SPECTR-128 Cải tiến khối mở rộng trong thuật toán mật mã khối SPECTR-128 để làm giảm xác suất P(2) xuống khoảng 28 . Khối mở rộng được mô tả trong bảng sau: Bảng 2.7. Phân bố các bit của véctơ U V 1 3 3 3 4 3 5 3 6 3 7 3 8 3 9 4 0 4 1 4 2 4 3 4 4 6 2 6 3 3 4 6 0 3 5 3 6 3 7 4 3 4 4 5 4 5 5 5 6 5 7 5 8 5 9 6 0 6 1 6 2 6 3 6 4 V 1 V 2 5 0 4 1 5 2 5 3 4 2 6 1 5 6 5 7 6 1 3 8 4 8 5 5 4 5 4 6 4 7 4 9 6 4 4 9 5 0 5 1 3 8 3 9 4 0 4 1 4 2 4 8 5 3 4 5 4 6 4 7 5 2 3 3 V 2 V 3 5 8 5 9 4 5 5 8 6 2 4 9 6 4 6 3 3 3 5 1 5 2 5 3 5 4 5 5 3 9 5 4 5 7 4 6 4 4 6 0 4 3 4 7 4 8 5 0 3 4 3 5 3 6 3 7 5 9 5 6 4 0 5 1 V 3 V 4 2 6 2 7 2 8 2 9 1 1 9 1 0 1 7 1 8 3 1 2 0 2 1 1 4 2 3 2 4 2 5 3 2 1 1 8 9 2 2 1 5 1 6 3 0 2 3 4 5 6 7 1 2 1 3 V 4 11 V 5 1 8 1 9 2 0 2 1 1 4 2 3 2 4 2 5 2 6 2 7 2 8 2 9 3 0 3 1 3 2 1 7 2 3 4 5 6 7 8 9 1 0 1 1 2 1 3 2 2 1 5 1 6 1 1 V 5 V 6 1 2 3 4 5 6 7 8 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 2 0 2 1 2 8 2 3 2 4 2 5 2 6 2 7 2 2 2 9 3 0 3 1 3 2 V 6 Thuật toán SPECTR’-128 với 12 vòng mã hóa là đủ để chống lại các kiểu thám mã lượng sai hiệu quả nhất. Đối với thám mã tuyến tính:để giảm hơn nữa các tấn công tuyến tính, sẽ đề xuất sử dụng trong thuật toán sửa đổi SPECTR’-128 giá trị hằng số C = (10101010) thay cho khóa con A(3). 2.4. Đánh giá hiệu quả của thuật toán cải tiến trên FPGA Các mô hình đánh giá hiệu quả tích hợp của các thuật toán SPECTR-128 và SPECTR’-128 được thực hiện dựa trên phụ lục B. Bảng 2.8. So sánh hiệu quả tích hợp của các thuật toán trên FPGA Thuật toán Số vòng N Tài nguyên (#CLB) Tần số (MHz) Tốc độ (Mb/s) Hiệu quả Mbps/CLB SPECTR-128 12 1 2,176 86 917 0.421 SPECTR’128 12 1 2,173 86 917 0.422 SPECTR-128 14 1 2,357 82 750 0.319 AES [15] 10 2 5,302 14.1 300 0.057 AES [15] 10 1 3,528 25.3 294 0.083 AES [16] 10 1 3,552 54 493 0.138 12 2.5. Kết luận chương Trong chương này, luận án đã đạt được các kết quả chính như sau: - Dựa trên các kết quả đánh giá độ an toàn bằng các phân tích thám mã lượng sai và tuyến tính trên thuật toán mật mã khối SPECTR-128, đề xuất các phương án cải tiến của thuật toán này nhằm sử dụng để bảo mật truyền dữ liệu thời gian thực. - Chứng minh về độ an toàn trước các kiểu tấn công thám mã lượng sai và thám mã tuyến tính của thuật toán cải tiến. - Thực hiện cài đặt họ thuật toán SPECTR-128 trên FPGA. Kết quả nhận được đã chứng tỏ, họ thuật toán này có thể sử dụng hiệu quả trong các ứng dụng truyền dữ liệu thời gian thực. - Kết quả này đã được công bố trong công trình nghiên cứu số [2]. CHƯƠNG 3: XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI DỰA TRÊN CÁC LỚP NGUYÊN THỦY MẬT MÃ F2/1 VÀ F2/2 3.1. Phát triển họ thuật toán mật mã khối tốc độ cao Twofish [15] 16 1 2,666 13 104 0.039 Serpent [15] 32 8 7,964 13.9 444 0.056 RC6 [15] 20 1 2,638 13.8 88.5 0.034 13 Họ thuật toán đề xuất, được ký hiệu tương ứng như sau: 1). (2/1)TMN64 và (2/1)TMN128 là các thuật toán được phát triển dựa trên lớp CE F2/1; 2). (2/2)TMN64 và (2/2)TMN128 là các thuật toán được phát triển dựa trên lớp CE F2/2. Để thuật tiện, trong quá trình mô tả các thuật toán này sẽ chỉ sử dụng ký hiệu TMN64 và TMN128. 3.1.1. Họ thuật toán dựa trên CE F2/1 3.1.1.1.Tiêu chí thiết kế Thuật toán mã khối có chiều dài khối dữ liệu là 64 bit hoặc 128 bit (TMN64 và TMN128) hiệu quả khi tích hợp lên phần cứng FPGA, được đánh giá độ an toàn theo một số tiêu chuẩn trên thế giới, Thay đổi chế độ mã/ giải mã thông qua thay đổi trình tự sử dụng khóa và sử dụng lược đồ sinh khóa vòng “cùng bay” (on-the-fly), không cần thay đổi thuật toán (sẽ làm tăng hiệu quả việc thực hiện tích hợp trên FPGA). 3.1.1.2. Mô tả thuật toán TMN64 và TMN128 là một họ thuật toán được phát triển nhằm mục tiêu hoạt động hiệu quả trên nền tảng FPGA và có khả năng ứng dụng trong bảo mật thông tin cho các ứng dụng truyền dữ liệu thời gian thực. 14 Hình 3.1. Sơ đồ tổng quát của hai thuật toán (a); sơ đồ một vòng mã hóa cơ sở của TMN64 (b); sơ đồ một vòng mã hóa cơ sở của TMN128 (c) Trong cả hai thuật toán sử dụng CE kiểu (h, g). Bộ phần tử này được biểu diễn bởi các phương trình như sau: y1 = x2v  x1 x2; (3.1) y2 = x1v  x2 ; (3.2) y3 = x1v  x1  x2v. (3.3) 3.1.1.3. Thiết kế lược đồ khóa Sơ đồ chung của các thuật toán mã hóa khi sử dụng lược đồ khóa “cùng bay” được mô tả trên hình 3.8. 15 Hình 3.8. Sơ đồ chung của các thuật toán đề xuất khi sử dụng lược đồ khóa vòng “cùng bay” 3.1.2. Họ thuật toán dựa trên CE F2/2 Họ thuật toán này (TMN64 và TMN128), cũng được thiết kế với cấu trúc tương tự như họ thuật toán dựa trên lớp CE F2/1. Tuy nhiên vì sử dụng lớp CE F2/2, chúng sẽ đạt các ưu điểm về tốc độ và độ an toàn tốt hơn so với họ thuật toán sử dụng CE F2/1. Họ thuật toán này sử dụng CE kiểu (h, f, e, j). Bộ phần tử này được biểu diễn bởi các phương trình như sau: y1 = vzx2  vz  vx1  zx1  z  x1  x2 (3.4); 16 y2 = vzx1 vx1  vx2  zx2  zx1  z  x2 ; (3.5) y3 = y1 y2 = vzx1  vzx2  vz  vx2 zx2 x1. (3.6) 3.1.2.1.Tiêu chí thiết kế Tương tự họ thuật toán sử dụng CE F2/1. 3.1.2.2. Mô tả thuật toán Tương tự họ thuật toán sử dụng CE F2/1. 3.2. Phân tích độ an toàn của các thuật toán đề xuất 3.2.1. Phân tích độ an toàn của thuật toán dựa trên F2/1 3.2.1.1. Đánh giá các tiêu chuẩn thống kê Các kết quả nhận được chỉ ra rằng, sau bốn vòng ảnh hưởng của các bit bản rõ lên bản mã là đáp ứng tiêu chuẩn đòi hỏi. Vì vậy, các vòng mã hóa sử dụng trong thuật toán TMN64 và TMN128 là đủ an toàn đối với các phân tích thống kê. 3.3.1.2. Đánh giá độ an toàn đối với thám mã lượng sai - Để đảm bảo an toàn trước các kiểu tấn công vi sai, thuật toán TMN64 cần sử dụng tối thiểu 12 vòng mã hóa. - Để đảm bảo an toàn trước các kiểu tấn công vi sai, thuật toán TMN128 cần sử dụng tối thiểu 14 vòng mã hóa. 3.2.2. Phân tích độ an toàn của thuật toán dựa trên F2/2 3.2.2.1. Đánh giá các tiêu chuẩn thống kê Các kết quả nhận được chỉ ra rằng, sau bốn vòng ảnh hưởng của các bit bản rõ lên bản mã là đáp ứng tiêu chuẩn đòi hỏi. Vì vậy, các vòng mã hóa sử dụng trong thuật toán TMN64 và TMN128 là đủ an toàn đối với các phân tích thống kê. 3.3.2.2. Đánh giá độ an toàn đối với thám mã lượng sai 17 - Để đảm bảo an toàn trước các kiểu tấn công vi sai, thuật toán TMN64 cần sử dụng tối thiểu 10 vòng mã hóa. - Để đảm bảo an toàn trước các kiểu tấn công vi sai, thuật toán TMN128 cần sử dụng tối thiểu 12 vòng mã hóa. 3.3. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA Các kết quả nhận được được so sánh với hiệu quả cài đặt của các thuật toán tham dự vòng chung khảo AES [2]. Chúng đã chứng tỏ rằng, các họ thuật toán đề xuất có tốc độ hoạt động và hiệu quả tích hợp cao hơn so với các thuật toán chung khảo AES. 3.3.1. Hiệu quả của thuật toán dựa trên F2/1 Dựa trên các kết quả được mô tả trên bảng 3.10, 3.11, 3.12 và 3.13, có thể nhận thấy rằng tốc độ và hiệu quả tích hợp của họ các thuật toán đề xuất dựa trên lớp CE F2/1và CE F2/2 là cao hơn so với các thuật toán tham dự vòng chung khảo AES [4]. 3.4. Kết luận chương Trong chương này, luận án đã đạt được các kết quả chính như sau: - Đề xuất họ thuật toán mật mã khối tốc độ cao (2/1)TMN dựa trên lớp CE F2/1 và họ thuật toán (2/2)TMN dựa trên lớp CE F2/2. - Đề xuất phương án xây dựng lược đồ sinh khóa vòng “cùng bay”. 18 - Thực hiện đánh giá độ an toàn của các họ thuật toán này sử dụng tiêu chuẩn thống kê NESSIE và thám mã lượng sai. - Thực hiện đánh giá hiệu quả tích hợp của các họ thuật toán đề xuất trên FPGA. - Kết quả được công bố trong công trình nghiên cứu số [4,5,7]. CHƯƠNG 4. PHÁT TRIỂN NGUYÊN THỦY MẬT MÃ F2/4 VÀ XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI 4.1. Phát triển lớp nguyên thủy mật mã F2/4 4.1.1. Xây dựng các CE F2/4 Phần tử điều khiển được F2/4 có đầu vào và đầu ra 2 bit, và đầu vào điều khiển được 4 bit. Sơ đồ của CE F2/4 được chỉ ra trên hình 4.1a). Hình 4.1. Phần tử điều khiển được F2/4 (a) và biểu diễn nó trong dạng cặp các hàm Boolean (b). 19 1 1 1 2 3 4 1 1 2 2 1 2 3 4 1 1 2 3 4 1 2 3 4 1 1 2 1 2 3 4 1 1 2 5 6 1 2 3 4 1 1 2 1 2 3 4 1 1 2 1 2 3 ( 1)( 1)( 1)( 1) ( , ) ( 1)( 1)( 1) ( , ) ( 1)( 1) ( 1) ( , ) ( 1)( 1) ( , ) ( 1) ( 1)( 1) ( , ) ( 1) ( 1) ( , ) ( 1) ( y v v v v f x x v v v v f x x v v v v f x x v v v v f x x v v v v f x x v v v v f x x v v v                              7 8 4 1 1 2 1 2 3 4 1 1 2 9 1 2 3 4 1 1 2 10 1 2 3 4 1 1 2 11 12 1 2 3 4 1 1 2 1 2 3 4 1 1 2 13 14 1 2 3 4 1 1 2 1 2 3 4 1 1) ( , ) ( 1) ( , ) ( 1)( 1)( 1) ( , ) ( 1)( 1) ( , ) ( 1) ( 1) ( , ) ( 1) ( , ) ( 1)( 1) ( , ) ( 1) ( v f x x v v v v f x x v v v v f x x v v v v f x x v v v v f x x v v v v f x x v v v v f x x v v v v f x                         1 2 15 16 1 2 3 4 1 1 2 1 2 3 4 1 1 2 , ) ( 1) ( , ) ( , ), x v v v v f x x v v v v f x x     ).x,x(fvvvv)x,x(f)1v(vvv )x,x(fv)1v(vv)x,x(f)1v)(1v(vv )x,x(fvv)1v(v)x,x(f)1v(v)1v(v )x,x(fv)1v)(1v(v )x,x(f)1v)(1v)(1v(v )x,x(fvvv)1v()x,x(f)1v(vv)1v( )x,x(fv)1v(v)1v()x,x(f)1v)(1v(v)1v( ) 2 x, 1 x(4 2 f 4 v 3 v)1 2 v)(1 1 v( ) 2 x, 1 x(3 2 f)1 4 v( 3 v)1 2 v)(1 1 v( )x,x(fv)1v)(1v)(1v( )x,x(f)1v)(1v)(1v)(1v(y 21 16 2432121 15 24321 21 14 2432121 13 24321 21 12 2432121 11 24321 21 10 24321 21 9 24321 21 8 2432121 7 24321 21 6 2432121 5 24321 21 2 24321 21 1 243212            (4.1) 4.1.2. Phân tích các đặc tính mật mã của các CE F2/4 Trên bảng 4.1 mô tả một tập của các biến thể, chúng phù hợp với các tiêu chuẩn phi tuyến 1 và 3. 4.1.3. Xây dựng kiến trúc CSPN dựa trên CE F2/4 4.2. Phát triển một số thuật toán mật mã khối tốc độ cao Trong phần này, luận án sẽ đề xuất một họ thuật toán mật mã khối mới, chúng được xây dựng dựa trên CE F2/4. TMN64 20 và TMN128 là các thuật toán được phát triển và công bố trong công trình nghiên cứu số [5]. 4.2.1. Tiêu chí thiết kế Tương tự họ thuật toán sử dụng CE F2/1 , F2/2. 4.2.2. Mô tả thuật toán TMN64 và TMN128 là các thuật toán được phát triển nhằm mục tiêu hoạt động hiệu quả trên nền tảng FPGA và có khả năng ứng dụng trong bảo mật thông tin cho các ứng dụng truyền dữ liệu thời gian thực. Chúng là các thuật toán mật mã khối có kích thước khối 64 và 128 bit và khóa bí mật 128 và 256 bit (tương ứng với TMN64 và TMN128) với R vòng mã hóa. 4.2.3. Thiết kế lược đồ khóa Được thiết kế hoàn giống lược đồ khóa tại mục 3.1.1.3. 4.3. Phân tích độ an toàn của các thuật toán đề xuất 4.3.1. Đánh giá các tiêu chuẩn thống kê Các kết quả nhận được chỉ ra rằng, sau bốn vòng ảnh hưởng của các bit bản rõ lên bản mã là đáp ứng tiêu chuẩn đòi hỏi. Vì vậy, các vòng mã hóa sử dụng trong thuật toán TMN64 và TMN128 là đủ an toàn đối với các phân tích thống kê. 4.3.2. Đánh giá độ an toàn đối với thám mã lượng sai - Để đảm bảo an toàn trước các kiểu tấn công vi sai, thuật toán TMN64 cần sử dụng tối thiểu 6 vòng mã hóa. - Để đảm bảo an toàn trước các kiểu tấn công vi sai, thuật toán TMN128 cần sử dụng tối thiểu 8 vòng mã hóa. 21 4.4. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA Dựa trên các kết quả được mô tả trên các bảng 4.8, 4.9, 4.10 và 4.11, có thể nhận thấy rằng tốc độ và hiệu quả tích hợp của họ các thuật toán đề xuất dựa trên CSPN là cao hơn so với các thuật toán tham dự vòng chung khảo AES [2]. 4.5. Kết luận chương Trong chương này, luận án đã đạt được các kết quả chính như sau: - Đề xuất việc xây dựng lớp phần tử mới CE F2/4 và phương thức xây dựng CSPN dựa trên chúng. - Đề xuất họ thuật toán mật mã khối tốc độ cao (2/4)TMN dựa trên lớp CE F2/4. - Thực hiện đánh giá độ an toàn của họ thuật toán này sử dụng tiêu chuẩn thống kê NESSIE và thám mã lượng sai. Các kết quả nhận được đã chứng minh rằng đây là các họ thuật toán đủ an toàn theo một số tiêu chí đánh giá phổ biến trên thế giới. - Thực hiện đánh giá hiệu quả tích hợp của họ thuật toán đề xuất trên FPGA. - Kết quả được công bố trong công trình nghiên cứu số [3]. KẾT LUẬN A. Về những đóng góp mới của luận án: Các đóng góp mới của luận án bao gồm 4 vấn đề sau: 22 1. Thực hiện đánh giá độ an toàn của thuật toán mật mã SPECTR-128 và đề xuất cải tiến nâng cao độ an toàn cho thuật toán này. Kết quả nghiên cứu được phản ánh trong công trình nghiên cứu số [2]. 2. Dựa trên lớp phần tử điều khiển được F2/1 và F2/2, thực hiện đề xuất các họ thuật toán TMN64 và TMN128 dựa trên các lớp phần tử này. Các kết quả nghiên cứu được phản ánh trong công trình nghiên cứu số [4,5,7]. 3. Đề xuất mới lớp phần tử nguyên thủy mật mã mới F2/4, Các kết quả được phản ánh trong công trình nghiên cứu số [3,4,5,7].

Các file đính kèm theo tài liệu này:

  • pdftom_tat_luan_an_nghien_cuu_xay_dung_giai_phap_bao_mat_du_lie.pdf
Tài liệu liên quan