Bài giảng Kỹ thuật điện tử (Bản hay)

Nội dung

 Chương 1: Diode

 Chương 2: BJT

 Chương 3: FET

 Chương 4: Mạch khuyếch đại dùng Transistor

 Chương 5: Opamp và ứng dụng

 Chương 6: Hệ thống số và mã

 Chương 7: Đại số Boole và các cổng logic

 Chương 8: Tối thiểu hóa hàm Boole

 Chương 9: Hệ tổ hợp

 Chương 10: Hệ tuần tự đồng bộ

 Chương 11: Bộ nhớ, PLD và FPGA

 Chương 12: Các họ IC số và giao tiếp giữa chúng

pdf136 trang | Chia sẻ: trungkhoi17 | Lượt xem: 305 | Lượt tải: 1download
Bạn đang xem trước 20 trang tài liệu Bài giảng Kỹ thuật điện tử (Bản hay), để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
ra G laø 1 neáu nhö giaù trò nhò phaân cuûa 3 ngoõ vaøo lôùn hôn 1 vaø nhoû hôn 6; ngöôïc laïi G = 0. X Y Z F G 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 0 XY Z F 0 1 00 01 11 10 1 1 Y Z 1 1 X Z X Y F = X Y + Y Z + X Z XY Z G 0 1 00 01 11 10 11 11 X Y X Y G = X Y + X Y = X ⊕ Y 4 F F = X Y + Y Z + X Z G = X Y + X Y = X ⊕ Y X Y Z G 25 Trường hợp heä toå hôïp khoâng söû duïng taát caû 2n toå hôïp cuûa ngoõ vaøo, thì taïi caùc toå hôïp khoâng söû duïng ñoù ngoõ ra coù giaù trò tuøy ñònh. Vd: Thieát keá heä toå hôïp coù ngoõ vaøo bieåu dieãn cho 1 soá maõ BCD. Neáu giaù trò ngoõ vaøo nhoû hôn 3 thì ngoõ ra coù giaù trò baèng bình phöông giaù trò ngoõ vaøo; ngöôïc laïi giaù trò ngoõ ra baèng giaù trò ngoõ vaøo tröø ñi 3. A B C D 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 F2 F1 F0 X X X X X X X X X X X X X X X X X X 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 F2 = A + B C D + B C D F1 = A D + B C D + B C D F0 = A D + B D + A B C D 6 II. Boä coäng - tröø nhò phaân: 1. Boä coäng (Adder): a. Boä coäng baùn phaàn (Half Adder – H.A): Boä coäng baùn phaàn laø heä toå hôïp coù nhieäm vuï thöïc hieän pheùp coäng soá hoïc x + y (x, y laø 2 bit nhò phaân ngoõ vaøo); heä coù 2 ngoõ ra: bit toång S (Sum) vaø bit nhôù C (Carry). x y C S 0 0 0 1 1 0 1 1 0 0 0 1 0 1 1 0 S = x y + x y = x ⊕ y C = x y x y S C x y S C H.A 7 b. Boä coäng toaøn phaàn (Full Adder – F.A): Boä coäng toaøn phaàn thực hiện pheùp coäng soá hoïc 3 bit x + y + z (z bieåu dieãn cho bit nhôù töø vị trí coù troïng soá nhoû hôn gôûi tôùi) x y S C F.A z x y z C S 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 0 0 1 1 0 1 0 1 1 xy z S 0 1 00 01 11 10 1 1 1 1 S = x y z + x y z + x y z + x y z xy z C 0 1 00 01 11 10 11 1 1 C = x y + x z + y z 8 S = x y z + x y z + x y z + x y z = z (x y + x y) + z (x y + x y) = z (x ⊕ y) + z (x ⊕ y) S = z ⊕ (x ⊕ y) C = x y + x z + y z = x y + x y z + x y z + x y z = x y (1 + z) + z (x y + x y) C = x y + z (x ⊕ y) x y z S C 39 2. Boä tröø (Subtractor): a. Boä tröø baùn phaàn (Half Subtractor – H.S): Boä tröø baùn phaàn coù nhieäm vuï thöïc hieän pheùp tröø soá hoïc x - y (x, y laø 2 bit nhò phaân ngoõ vaøo); heä coù 2 ngoõ ra: bit hieäu D (Difference) vaø bit möôïn B (Borrow). x y D B H.S x y B D 0 0 0 1 1 0 1 1 0 0 1 1 0 1 0 0 D = x y + x y = x ⊕ y B = x y x y D B 10 b. Boä tröø toaøn phaàn (Full Subtractor – F.S): Boä tröø toaøn phaàn thực hiện pheùp tröø soá hoïc 3 bit x - y - z (z bieåu dieãn cho bit möôïn töø ví trò coù troïng soá nhoû hôn) x y D B F.S z x y z B D 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 1 1 1 1 0 0 1 0 0 0 0 1 1 xy z D 0 1 00 01 11 10 1 1 1 1 xy z B 0 1 00 01 11 10 11 1 1 S = x y z + x y z + x y z + x y z C = x y + x z + y z S = z ⊕ (x ⊕ y) C = x y + z (x ⊕ y) 11 74283 3. Boä coäng/tröø nhò phaân song song: a. Boä coäng nhò phaân: M: M3 M2 M1 M0 N: N3 N2 N1 N0 S0S1S2S3 C1C2 + C3 C4 x y zC S F.A x y zC S F.A x y zC S F.A x y zC S F.A M0 N0M1 N1M2 N2M3 N3 S0 C0 = 0 C1 C2 C3 S1 S2 S3 C4 12 b. Boä tröø nhò phaân: - Söû duïng caùc boä tröø toaøn phaàn F.S - Thöïc hieän baèng pheùp coäng vôùi buø 2 cuûa soá tröø M – N = M + Buø_2(N) = M + Buø_1(N) + 1 M0 N0M1 N1M2 N2M3 N3 C0 = 1 x y zC S F.A x y zC S F.A x y zC S F.A x y zC S F.A C1 C2 C3 S0 S1 S2 S3 C4 Keát quaû: - C4 = 1 keát quaû laø soá döông - C4 = 0 keát quaû laø soá aâm 413 c. Boä coäng/tröø nhò phaân: M0 N0M1 N1M2 N2M3 N3 C0 x y zC S F.A x y zC S F.A x y zC S F.A x y zC S F.A C1 C2 C3 S0 S1 S2 S3 C4 Pheùp toaùn C0 yi 0 NiCOÄNG TRÖØ 1 Ni T = 0: Coäng T = 1: Tröø Ngoõ vaøo ñieàu khieån C0 = T yi = T⊕ Ni T 14 III. Heä chuyeån maõ (Code Conversion): - Heä chuyeån maõ laø heä toå hôïp coù nhieäm vuï laøm cho 2 heä thoáng töông thích vôùi nhau, maëc duø moãi heä thoáng duøng maõ nhò phaân khaùc nhau. - Heä chuyeån maõ coù ngoõ vaøo cung caáp caùc toå hôïp maõ nhò phaân A vaø caùc ngoõ ra taïo ra caùc toå hôïp maõ nhò phaân B. Nhö vaäy, ngoõ vaøo vaø ngoõ ra phaûi coù soá löôïng töø maõ baèng nhau. Maõ nhò phaân B Heä chuyeån maõ Maõ nhò phaân A 15 Vd: Thieát keá heä chuyeån maõ töø maõ BCD thaønh maõ BCD quaù 3. A B C D 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 W X Y Z X X X X X X X X X X X X X X X X X X X X X X X X 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 W = A + B (C + D) X = B ⊕ (C + D) Y = C ⊕ D Z = D A B C D W X Z Y 16 IV. Boä giaûi maõ (DECODER): 1. Giôùi thieäu: - Boä giaûi maõ laø heä chuyeån maõ coù nhieäm vuï chuyeån töø maõ nhò phaân cô baûn n bit ôû ngoõ vaøo thaønh maõ nhò phaân 1 trong m ôû ngoõ ra. Maõ 1 trong m X0 X1 Xn-1 Maõ nhò phaân Y0 Y1 Ym-1 m = 2n - Coù 2 daïng: ngoõ ra tích cöïc cao (möùc 1) vaø ngoõ ra tích cöïc thaáp (möùc 0). - Với giaù trị i của tổ hợp nhị phaân ở ngoõ vaøo, thì ngoõ ra Yi sẽ tích cực vaø caùc ngoõ ra coøn lại sẽ khoâng tích cực. 517 a. Boä giaûi maõ ngoõ ra tích cöïc cao: X0 (LSB) X1 Y0 Y1 Y2 Y3 X1 X0 Y3 Y2 Y1 Y0 0 0 0 1 1 0 1 1 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 Y0 = X1 X0 = m0 Y1 = X1 X0 = m1 Y2 = X1 X0 = m2 Y3 = X1 X0 = m3 X0 X1 Y0 Y1 Y2 Y3Ngoõ ra: Yi = mi (i = 0, 1, .., 2n-1) 18 b. Boä giaûi maõ ngoõ ra tích cöïc thaáp: X1 X0 Y3 Y2 Y1 Y0 0 0 0 1 1 0 1 1 1 1 1 0 1 1 0 1 1 0 1 1 0 1 1 1 X0 X1 Ngoõ ra: Yi = Mi (i = 0, 1, .., 2n-1) X0 (LSB) X1 Y0 Y1 Y2 Y3 Y0 = X1 +X0 = M0 = m0 Y1 = X1 +X0 = M1 = m1 Y2 = X1 +X0 = M2 = m2 Y3 = X1 +X0 = M3 = m3 Y0 Y1 Y2 Y3 19 c. Boä giaûi maõ coù ngoõ vaøo cho pheùp: - Ngoaøi caùc ngoõ vaøo döõ lieäu, boä giaûi maõ coù theå coù 1 hay nhieàu ngoõ vaøo cho pheùp. - Khi caùc ngoõ vaøo cho pheùp ôû traïng thaùi tích cöïc thì maïch giaûi maõ môùi ñöôïc hoaït ñoäng. Ngöôïc laïi, maïch giaûi maõ seõ khoâng hoaït ñoäng; khi ñoù caùc ngoõ ra ñeàu ôû traïng thaùi khoâng tích cöïc. Y0 Y1 Y2 Y3 X0 (LSB) X1 EN EN X1 X0 Y3 Y2 Y1 Y0 0 X X 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 X0 X1 Y0 Y1 Y2 Y3 EN 20 2. IC giaûi maõ: a. IC 74139: goàm 2 boä giaûi maõ 2 sang 4 ngoõ ra tích cöïc thaáp 1Y0 1Y1 1Y2 1Y3 1A (LSB) 1B 1G 2Y0 2Y1 2Y2 2Y3 2A (LSB) 2B 2G 1 2 3 15 14 13 4 5 6 7 12 11 10 9 G B A Y3 Y2 Y1 Y0 1 X X 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 1 0 1 1 0 1 1 0 1 1 0 1 1 1 621 b. IC 74138: boä giaûi maõ 3 sang 8 ngoõ ra tích cöïc thaáp Y0 Y1 Y2 Y3 A (LSB) B C Y4 Y5 Y6 Y7 G1 G2A G2B 1 2 3 4 6 5 9 12 11 10 7 15 14 13 G1 G2A G2B C B A Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 X X X X X X 1 X X X X X X 1 X X X 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 1 0 0 1 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 22 3. Söû duïng boä giaûi maõ thöïc hieän haøm Boole: Ngoõ ra cuûa boä giaûi maõ laø minterm (ngoõ ra tích cöïc cao) hoaëc maxterm (ngoõ ra tích cöïc thaáp) cuûa n bieán ngoõ vaøo. Do ñoù, ta coù theå söû duïng boä giaûi maõ thöïc hieän haøm Boole theo daïng chính taéc. z y x 0 1 0 F1 (x, y, z) = ∑ (2, 5, 7) = m2 + m5 + m7 = M2 + M5 + M7 = M2M5M7 F2 (x, y, z) = ∏ (0, 1, 4) = M0M1M4 F1 F2 Y0 Y1 Y2 Y3 A (LSB) B C Y4 Y5 Y6 Y7 G1 G2A G2B 74138 23 V. Boä maõ hoùa (ENCODER): 1. Giôùi thieäu: - Encoder laø heä chuyeån maõ thöïc hieän hoaït ñoäng ngöôïc laïi vôùi decoder. Nghóa laø encoder coù m ngoõ vaøo theo maõ nhò phaân 1 trong m vaø n ngoõ ra theo maõ nhò phaân cô baûn (vôùi m ≤ 2n). - Vôùi ngoõ vaøo Ii ñöôïc tích cöïc thì ngoõ ra chính laø toå hôïp giaù trò nhò phaân i töông öùng. I0 I1 I2 I3 (LSB)Z0 Z1 I3 I2 I1 I0 Z1 Z0 0 0 0 1 1 0 1 1 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 Z1 = I3 + I2 Z0 = I3 + I1 Z1 Z0 I3 I2 I1 24 * Boä maõ hoùa coù öu tieân (Priority Encoder): Boä maõ hoùa coù öu tieân laø maïch maõ hoùa sao cho neáu coù nhieàu hôn 1 ngoõ vaøo cuøng tích cöïc thì ngoõ ra seõ laø giaù trò nhò phaân cuûa ngoõ vaøo coù öu tieân cao nhaát. I0 I1 I2 I3 (LSB)Z0 Z1 V I3 I2 I1 I0 Z1 Z0 V X X 0 0 0 1 0 1 1 1 0 1 1 1 1 0 0 0 0 0 0 0 1 0 0 1 X 0 1 X X 1 X X X Z1 = I3 + I2 Z0 = I3 + I2 I1 V = I3 + I2 + I1 + I0 I3 I2 I1 I0 Z1 Z0 V Thöù töï öu tieân: I3 > I2 > I1 > I0 725 2. IC maõ hoùa öu tieân 8→3 (74148): EI I7 I6 I5 I4 I3 I2 I1 I0 A2 A1 A0 GS EO 1 X X X X X X X X 0 0 X X X X X X X 0 1 0 X X X X X X 0 1 1 0 X X X X X 0 1 1 1 0 X X X X 0 1 1 1 1 0 X X X 0 1 1 1 1 1 0 X X 0 1 1 1 1 1 1 0 X 0 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 0 EI I7 I6 I5 I4 I3 I2 I1 I0 1 5 3 12 14 9 A2 A1 (LSB)A0 GS EO 7 6 15 4 2 13 11 10 26 VI. Boä doàn keânh (Multiplexer - MUX): 1. Giôùi thieäu: - MUX 2n→1 laø heä toå hôïp coù nhiều ngoõ vaøo nhöng chæ coù 1 ngoõ ra. Ngoõ vaøo goàm 2 nhoùm: m ngoõ vaøo döõ lieäu (data input) vaø n ngoõ vaøo löïa choïn (select input). - Vôùi 1 giaù trò i cuûa toå hôïp nhò phaân caùc ngoõ vaøo löïa choïn, ngoõ vaøo döõ lieäu Di seõ ñöôïc choïn ñöa ñeán ngoõ ra. (m = 2n) D0 D1 : Dm-1 S0(LSB) S1 : Sn-1 Y Ngoõ vaøo döõ lieäu (Data Input) Ngoõ vaøo löïa choïn (Select Input) 27 * Boä MUX 4 → 1: D0 D1 D2 D3 S0(LSB) S1 Y S1 S0 Y 0 0 0 1 1 0 1 1 D0 D1 D2 D3 = m0 D0 + m1 D1 + m2 D2 + m3 D3 = ∑mi Di (i = 0, 1, 2, 3) Y = S1 S0 D0 + S1 S0 D1 + S1 S0 D2 + S1 S0 D3 S1 S0 D0 D1 D2 D3 Y Toång quaùt: Y = ∑mi Di (vôùi i = 0, 1, .., 2 n-1) 28 2. IC doàn keânh: a. 74LS153: goàm 2 boä MUX 4 →1 1G 1C0 1C1 1C2 1C3 A(LSB) B 1Y 2G 2C0 2C1 2C2 2C3 2Y 14 15 10 11 12 13 2 1 6 5 4 3 7 9 G B A Y 1 X X 0 0 0 0 0 1 0 1 0 0 1 1 0 C0 C1 C2 C3 829 b. 74151: boä MUX 8 →1 EN A(LSB) B C YD0 D1 D2 D3 D4 D5 D6 D7 Y 15 14 13 12 9 4 3 2 1 5 6 11 10 7 EN C B A Y 1 X X X 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 D0 D1 D2 D3 D4 D5 D6 D7 30 3. Söû duïng boä MUX thöïc hieän haøm Boole: a. Boä MUX 2n thöïc hieän haøm Boole n bieán: EN A(LSB) B C YD0 D1 D2 D3 D4 D5 D6 D7 Y F(x, y, z) = ∑ (0, 1, 4, 7) = m0 + m1 + m4 + m7 = m0 1 + m1 1 + m2 0 + m3 0 + m4 1 + m5 0 + m6 0 + m7 1 Y = ∑mi Di = m0D0 + m1D1 + m2D2 + m3D3 + m4D4 + m5D5 + m6D6 + m7D7 D0 = D1 = D4 = D7 = 1 D2 = D3 = D5 = D6 = 0 z y x 0 1 0 F 31 b. Boä MUX 2n thöïc hieän haøm Boole n+1 bieán: F(x, y, z) = ∑ (0, 1, 4, 7) = x y z + x y z + x y z + x y z = x y .1 + x y .0 + x y .z + x y .z Y = m0D0 + m1D1 + m2D2 + m3D3 D0 = 1; D1 = 0; D2 = z; D3 = z 1G 1C0 1C1 1C2 1C3 A(LSB) B 1Y 2G 2C0 2C1 2C2 2C3 2Y y x 0 1 0 z F = m0 .1 + m1 .0 + m2 .z + m3 .z x y z F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 0 1 0 0 1 D0 = 1 D1 = 0 D3 = z D2 = z 32 VII. Boä phaân keânh (DEMUX): 1. Giôùi thieäu: - Boä DEMUX 1→2n coù chöùc naêng thöïc hieän hoaït ñoäng ngöôïc laïi vôùi boä MUX. Maïch coù 1 ngoõ vaøo döõ lieäu, n ngoõ vaøo löïa choïn vaø 2n ngoõ ra. - Vôùi 1 giaù trò i cuûa toå hôïp nhò phaân caùc ngoõ vaøo löïa choïn, ngoõ vaøo döõ lieäu D seõ ñöôïc ñöa ñeán ngoõ ra Yi. Y0 Y1 : Ym-1 S0(LSB) S1 : Sn-1 DNgoõ vaøo döõ lieäu(Data Input) Ngoõ vaøo löïa choïn (Select Input) Ngoõ ra 933 * Boä DEMUX 1 → 4: Y0 Y1 Y2 Y3 D S0 (LSB) S1 S1 S0 Y3 Y2 Y1 Y0 0 0 0 1 1 0 1 1 0 0 0 D 0 0 D 0 0 D 0 0 D 0 0 0 Y0 = S1 S0 D = m0 D Y1 = S1 S0 D = m1 D Y2 = S1 S0 D = m2 D Y3 = S1 S0 D = m3 D Y0 Y1 Y2 Y3 S1 S0 D 34 B A 1G 1C 1Y0 1Y1 1Y2 1Y3 2. IC phaân keânh 74LS155: goàm 2 boä phaân keânh 1 → 4 1Y0 1Y1 1Y2 1Y3A (LSB) B 2Y0 2Y1 2Y2 2Y3 2G 2C 1 2 15 13 3 7 6 5 4 12 10 11 9 14 1G 1C X X X X 0 0 0 1 1 0 1 1 1 X X 0 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 B A 2G 2C 2Y0 2Y1 2Y2 2Y3 X X X X 0 0 0 1 1 0 1 1 1 X X 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 35 VIII. Boä so saùnh đoä lôùn (Comparator): 1. Giôùi thieäu: - Boä so saùnh laø heä toå hôïp coù nhieäm vuï so saùnh 2 soá nhị phaân khoâng daáu A vaø B (moãi soá n bit). - Boä so saùnh coù 3 ngoõ ra (A>B), (A=B) vaø (A<B); chæ coù 1 ngoõ ra tích cöïc theo keát quaû so saùnh. * Boä so saùnh 3 bit: A: A2 A1 A0 B: B2 B1 B0 Söû duïng bieán trung gian: xi = Ai ⊕ Bi (i = 0, 1, 2) (A = B) = x2 x1 xo (A > B) = A2 B2 + x2 A1 B1 +x2x1 A0 B0 (A < B) = A2 B2 + x2 A1 B1 +x2x1 A0 B0 (A>B) (A=B) (A<B) A B = (A=B) + (A>B) 36 x0 x1 x2 (A=B) B0 A0 B1 A1 B2 A2 (A>B) (A<B) 10 37 2. IC so saùnh 74LS85: 3 4 9 ALTBIN AEQBIN AGTBIN B0 B1 B2 B3 10 12 13 15 11 14 1 7 5 A0 A1 A2 A3 ALTBOUT AEQBOUT AGTBOUT 6 2 AGTBOUT = (A>B) + (A=B)AGTBIN AEQBOUT = (A=B) AEQBIN ALTBOUT = (A<B) + (A=B)ALTBIN 11 Chöông 10: HEÄ TUAÀN TÖÏ I. Giôùi thieäu: Ngoõ vaøo (INPUT) Ngoõ ra (OUTPUT) COÅNG LOGIC Heä tuaàn töï laø heä maø ngoõ ra khoâng chæ phuï thuoäc vaøo caùc ngoõ vaøo maø coøn phuï thuoäc vaøo 1 soá ngoõ ra ñöôïc hoài tieáp trôû thaønh ngoõ vaøo thoâng qua phaàn töû nhôù. PHAÀN TÖÛ NHÔÙ Phaàn töû nhôù thöôøng söû duïng laø Flip_Flop. Heä tuaàn töï ñöôïc chia thaønh 2 loaïi: - Heä tuaàn töï ñoàng boä (Synchronous) - Heä tuaàn töï baát ñoàng boä (Asynchronous) 2 II. Maïch Choát (Latch) vaø Flip-Flop (FF): Flip_Flop: laø maïch tuaàn töï maø noù thöôøng laáy maãu caùc ngoõ vaøo vaø laøm thay ñoåi caùc ngoõ ra taïi nhöõng thôøi ñieåm xaùc ñònh bôûi xung clock. Latch (choát): laø maïch tuaàn töï maø noù lieân tuïc xem xeùt caùc ngoõ vaøo vaø laøm thay ñoåi caùc ngoõ ra baát cöù thôøi ñieåm naøo khoâng phuï thuoäc vaøo xung clock. Caùc maïch choát vaø FF coù 2 ngoõ ra Q vaø Q. Hai ngoõ ra naøy coù giaù trò logic laø buø cuûa nhau. 3 1. Caùc maïch choát: a. Choát SR: coù 2 loaïi * Coång NOR: R (reset) QS (set) Q 0 0 0 1 1 0 1 1 0 1 1 0 0 0 Q+ Q+S R Q Q Baûng hoaït ñoäng: Caám söû duïng Q+ laø traïng thaùi keá tieáp cuûa Q Kyù hieäu: S R Q Q 4 * Coång NAND: Baûng hoaït ñoäng: Kyù hieäu: R (reset) Q S (set) Q Q+ Q+S R 0 0 0 1 1 0 1 1 1 0 0 1 1 1 Caám söû duïng Q Q S R Q Q 25 b. Choát SR coù ngoõ vaøo cho pheùp: 0 X X 1 0 0 1 0 1 1 1 0 1 1 1 0 1 1 0 1 1 R (reset) Q S (set) Q C (enable) Q+ Q+C S R Q Q Q Q Kyù hieäu choát SR coù ngoõ vaøo cho pheùp tích cöïc cao: S C R Q Q Baûng hoaït ñoäng: 6 * Khaûo saùt giaûn ñoà xung: S R C Q (Cho Q ban ñaàu laø 0) Kyù hieäu choát SR coù ngoõ vaøo cho pheùp tích cöïc thaáp: S C R Q Q 1 X X 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 1 1 Q Q Q Q Q+ Q+C S R c. Choát D: Kyù hieäu choát D: D C Q Q Baûng hoaït ñoäng: C D Q+ Q+ 0 X Q Q Q D (set) Q C (enable) 1 0 1 1 0 1 1 0 7 7 8 2. Flip_Flop (FF): Traïng thaùi keá tieáp cuûa ngoõ ra FF seõ thay ñoåi theo ngoõ vaøo vaø traïng thaùi tröôùc ñoù cuûa ngoõ ra taïi thôøi ñieåm thay ñoåi cuûa xung clock (caïnh leân hoaëc caïnh xuoáng) * Baûng ñaëc tính vaø phöông trình ñaëc tính: Bieåu dieãn moái quan heä cuûa ngoõ ra keá tieáp Q+ phuï thuoäc vaøo caùc ngoõ vaøo vaø traïng thaùi ngoõ ra hieän taïi Q. * Baûng kích thích: Bieåu dieãn giaù trò cuûa caùc ngoõ vaøo caàn phaûi coù khi ta caàn ngoõ ra chuyeån töø traïng thaùi hieän taïi Q sang traïng thaùi keá tieáp Q+. X CK Q Q X CK Q Q Xung clock caïnh leân Xung clock caïnh xuoáng 39 a. Flip_Flop D (D-FF): D CK Q Q Baûng hoaït ñoäng: CK D Q+ Q+ 0 1 1 0 0, 1, X Khoâng thay ñoåi 0 1 D CK Q Q CK D Q+ Q+ 0 1 1 0 Khoâng thay ñoåi0, 1, X 0 1 10 * Khaûo saùt giaûn ñoà xung: CK D Q (Cho Q ban ñaàu laø 0) •* Baûng ñaëc tính vaø • phöông trình ñaëc tính: D Q Q+ 0 0 0 1 1 0 1 1 0 0 1 1 Q+ = D •* Baûng kích thích: Q Q+ D 0 0 0 1 1 0 1 1 0 1 0 1 D = Q+ T Q+ Q b. Flip_Flop T (T-FF): T CK Q Q Baûng hoaït ñoäng: T CK Q QQ 0 1 •* Baûng ñaëc tính vaø • phöông trình ñaëc tính: T Q Q+ 0 0 0 1 1 0 1 1 0 1 1 0 Q+ = T ⊕ Q •* Baûng kích thích: Q Q+ T 0 0 0 1 1 0 1 1 0 1 1 0 T = Q ⊕ Q+ 11 11 12 c. Flip_Flop SR (SR-FF): S CK R Q Q S CK R Q Q •* Baûng hoaït ñoäng: S R Q Q+ 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 •* Baûng ñaëc tính vaø • phöông trình ñaëc tính: 1 S R Q+ 0 0 0 1 1 0 1 1 Q 0 1 X 0 0 1 1 X X Q+ = S + R Q S R = 0 •* Baûng kích thích: Q Q+ S R 0 0 0 1 1 0 1 1 0 X 1 0 0 1 X 0 12 413 d. Flip_Flop JK (JK-FF): J CK K Q Q J CK K Q Q •* Baûng hoaït ñoäng: J K Q+ 0 0 0 1 1 0 1 1 Q 0 1 Q 13 J K Q Q+ 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 •* Baûng ñaëc tính vaø • phöông trình ñaëc tính: 1 0 0 1 1 1 0 •* Baûng kích thích: Q Q+ J K 0 0 0 1 1 0 1 1 0 X 1 X X 1 X 0 Q+ = J Q + K Q 14 e. Caùc ngoõ vaøo baát ñoàng boä: - Caùc ngoõ vaøo naøy seõ laøm thay ñoåi giaù trò ngoõ ra töùc thôøi, baát chaáp xung clock. - Coù 2 ngoõ vaøo vaøo baát ñoàng boä: Preset (Pr) vaø Clear (Cl). + Khi ngoõ vaøo Preset tích cöïc thì ngoõ ra Q ñöôïc set leân 1. + Khi ngoõ vaøo Clear tích cöïc thì ngoõ ra Q ñöôïc xoùa veà 0. J CK K Q Q Pr Cl J CK K Q Q Pr Cl + Khi ngoõ vaøo Preset vaø Clear khoâng tích cöïc thì FF môùi hoaït ñoäng. 15 III. Boä ñeám (COUNTER): 1. Giôùi thieäu: - Boä ñeám laø heä tuaàn töï coù 1 ngoõ vaøo xung clock vaø nhieàu ngoõ ra. Ngoõ ra cuûa boä ñeám chính laø ngoõ ra cuûa caùc Flip-Flop caáu thaønh boä ñeám. - Noäi dung cuûa boä ñeám taïi 1 thôøi ñieåm goïi laø traïng thaùi cuûa boä ñeám. Khi coù xung clock vaøo boä ñeám seõ chuyeån traïng thaùi töø 1 traïng thaùi hieän taïi chuyeån sang 1 traïng thaùi keá tieáp. Cöù tieáp tuïc nhö vaäy seõ taïo ra 1 voøng ñeám kheùp kín. - Giaûn ñoà traïng thaùi cuûa boä ñeám: Bieåu dieãn caùc traïng thaùi coù trong voøng ñeám vaø höôùng chuyeån traïng thaùi cuûa boä ñeám. 000 Q2Q1Q0 100 011010 110 -Modulo cuûa boä ñeám: Laø soá caùc traïng thaùi khaùc nhau trong voøng ñeám: m ≤ 2n 15 16 * Boä ñeám ñöôïc chia thaønh 2 loaïi: - Boä ñeám noái tieáp (boä ñeám baát ñoàng boä): laø boä ñeám maø ngoõ ra cuûa FF tröôùc seõ laø ngoõ vaøo xung clock cho FF sau. - Boä ñeám song song (boä ñeám ñoàng boä): laø boä ñeám maø ngoõ vaøo xung clock cuûa caùc FF ñöôïc noái chung vôùi nhau. 2. Boä ñeám noái tieáp (Asynchronous Counter): : - Boä ñeám noái tieáp thöïc hieän caùc voøng ñeám leân hoaëc xuoáng: + Ñeám leân (Count Up): noäi dung boä ñeám taêng theâm 1 khi coù xung clock. + Ñeám xuoáng (Count Down): noäi dung boä ñeám giaûm ñi 1 khi coù xung clock. - Boä ñeám ñöôïc taïo töø caùc FF ñeám 2, gheùp noái tieáp vôùi nhau. J CK K Q Q 1 1 T CK Q Q 1 16 517 a. Boä ñeám ñaày ñuû (m = 2n): CK T CK Q Q 1 T CK Q Q 1 T CK Q Q 1 Q2(MSB)Q1Q0(LSB) CK Q0 Q1 Q2 (LSB) (MSB) Khaûo saùt giaûn ñoà xung: ñaây laø boä ñeám leân (Count Up) * Gheùp Cki+1 = Qi 17 18 J CK K Q Q 1 1 J CK K Q Q 1 1 J CK K Q Q 1 1 Q2(MSB)Q0(LSB) Q1 CK Khaûo saùt giaûn ñoà xung: CK Q0 Q1 Q2 (LSB) (MSB) laø boä ñeám xuoáng (Count Down) 18 19 * Gheùp Cki+1 = Qi CK T CK Q Q 1 T CK Q Q 1 T CK Q Q 1 Q2(MSB)Q1Q0(LSB) Q2(MSB)Q0(LSB) Q1 CK J CK K Q Q 1 1 J CK K Q Q 1 1 J CK K Q Q 1 1 + Boä ñeám xuoáng (Count Down): + Boä ñeám leân (Count Up): 19 20 b. Boä ñeám khoâng ñaày ñuû (m< 2n): - Boä ñeám khoâng ñaày ñuû thöïc hieän döïa vaøo boä ñeám ñaày ñuû. Ta caàn xaùc ñònh traïng thaùi keá tieáp khoâng mong muoán cuûa voøng ñeám khoâng ñaày ñuû. - Duøng traïng thaùi naøy ñeå taïo ra tín hieäu taùc ñoäng tích cöïc vaøo caùc ngoõ vaøo baát ñoàng boä Preset hoaëc Clear ñeå ñöa boä ñeám trôû veà traïng thaùi ban ñaàu (thường gọi laø traïng thaùi reset). Vd: Söû duïng T-FF coù xung clock caïnh xuoáng vaø ngoõ vaøo Preset, Clear tích cöïc cao; thieát keá boä ñeám leân coù m = 5 vaø bắt ñaàu từ giaù trò 0. Q2 Q1 Q0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 1 X X Ta gọi Z laø tín hiệu để reset bộ đếm. Z Q2Q1 Q0 Z 0 1 00 01 11 10 1 X X Z = Q2 Q0 20 621 CK Q2(MSB)Q1Q0(LSB) T Ck Q Q 1 Pr Cl T Ck Q Q 1 Pr Cl T Ck Q Q 1 Pr Cl Z 0 0 0 Khaûo saùt giaûn ñoà xung: CK Q0 Q1 Q2 (LSB) (MSB) 21 Vd: Söû duïng JK-FF coù xung clock caïnh xuoáng vaø ngoõ vaøo Pr, Cl tích cöïc thaáp; thieát keá boä ñeám xuoáng coù m = 5 vaø bắt ñaàu từ giaù trò 2. Q2 Q1 Q0 0 1 0 0 0 1 0 0 0 1 1 1 1 1 0 1 0 1 Tín hiệu reset: Z = Q2 Q1 (tích cöïc thaáp) Q2(MSB)Q0(LSB) Q1 CK J CK K Q Q 1 1 Pr Cl J CK K Q Q 1 1 Pr Cl J CK K Q Q 1 1 Pr Cl 1 1 1 22 CLR CK QDQC QB QA IC 74393: 2 boä ñeám leân đầy đủ 4 bit 1QA 1QB 1QC (MSB) 1QD 3 4 5 6 1CK 1CLR 1 2 2QA 2QB 2QC (MSB) 2QD 11 10 9 8 2CK 2CLR 13 12 1 X 0 0, 1, 0 0 0 0 0 NO CHANGE COUNT UP 23 Reset/Set INPUT MR1 MR2 MS1 MS2 OUTPUT QDQC QB QA 1 1 0 X 1 1 X 0 X X 1 1 1 X 1 X X 1 X 1 1 X X 1 X 1 1 X IC 7490: goàm 2 boä ñeám - boä ñeám 2 vaø boä ñeám 5 (ñeám leân) QA 12 11 9 CKA QB QC (MSB)QD 8 MR1 MR2 2 14 1 CKB MS1 MS2 3 6 7 5 VCC GND 10 0 0 0 0 0 0 0 0 1 0 0 1 Counting 24 725 3. Boä ñeám song song (Synchronous Counter): : - Laø boä ñeám maø caùc FF ñeàu söû duïng chung nguoàn xung clock; khi coù xung clock vaøo thì taát caû caùc ngoõ ra FF ñeàu thay ñoåi. - Khi thieát keá boä ñeám, chæ quan taâm ñeán traïng thaùi hieän taïi vaø traïng thaùi keá tieáp cuûa FF, maø khoâng quan taâm ñeán daïng xung clock (caïnh leân hoaëc caïnh xuoáng). - Coù theå thieát keá boä ñeám coù voøng ñeám baát kyø. Baûng haøm kích thích: D = Q+ * D-FF: T = Q ⊕ Q+ * T-FF: * SR-FF S RQ Q+ J K 0 0 0 1 1 0 1 1 0 X 1 0 0 1 X 0 * JK-FF 0 X 1 X X 1 X 0 25 26 * Caùc böôùc thieát keá: - Töø phaùt bieåu baøi toaùn xaùc ñònh soá FF söû duïng vaø daõy ñeám. - Laäp baûng chuyeån traïng thaùi chæ roõ moái quan heä giöõa traïng thaùi hieän taïi vaø traïng thaùi keá tieáp (döïa vaøo daõy ñeám). T/t hieän taïi Qn-1 Q1 Q0 T/t keá tieáp Q+n-1 Q+1 Q+0 0 0 0 1 1 1 - Tìm caùc giaù trò ngoõ vaøo FF caàn phaûi coù töø giaù trò hieän taïi Qi vaø keá tieáp Q+i cuûa töøng FF (döïa vaøo baûng kích thích cuûa FF). Caùc ngoõ vaøo FF - Tìm bieåu thöùc ruùt goïn cuûa moãi ngoõ vaøo FF phuï thuoäc vaøo caùc bieán traïng thaùi hieän taïi. - Thöïc hieän sô ñoà logic. 26 27 a. Boä ñeám ñaày ñuû (m = 2n): Vd: Söû duïng T-FF kích theo caïnh leân, thieát keá boä ñeám coù daõy ñeám sau: Q2Q1Q0 : 010, 101, 110, 001, 000, 111, 100, 011, 010, T/t hieän taïi Q2 Q1 Q0 T/t keá tieáp Q+2 Q+1 Q+0 Caùc ngoõ vaøo T2 T1 T0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 0 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 Q2Q1 Q0 T2 0 1 00 01 11 10 1 1 1 1 Q2Q1 Q0 T1 0 1 00 01 11 10 1 1 1 1 1 1 T2 = Q0 T1 = Q0 + Q2 T0 = 1 27 28 T2 = Q0 T1 = Q0 + Q2 T0 = 1 CK Q0(LSB)Q1Q2(MSB) T2 CK2 Q 2 Q 2 T1 CK1 Q 1 Q 1 T0 CK0 Q 0 Q 0 1 28 80 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 29 b. Boä ñeám khoâng ñaày ñuû (m < 2n): Caùc traïng thaùi coù trong voøng ñeám seõ thieát keá nhö boä ñeám ñaày ñuû; coøn caùc traïng thaùi dö khoâng coù trong voøng ñeám seõ giaûi quyeát theo 2 caùch sau: * Caùch 1: Caùc traïng thaùi dö coù traïng thaùi keá tieáp laø tuøy ñònh. Khi thieát keá caàn khôûi ñoäng giaù trò ban ñaàu cho boä ñeám; giaù trò naøy phaûi laø 1 trong nhöõng traïng thaùi coù trong voøng ñeám. T/t hieän taïi Q2 Q1 Q0 T/t keá tieáp Q+2 Q+1 Q+0 Vd: Thieát keá boä ñeám duøng D-FF caïnh leân, coù ngoõ vaøo Pr vaø Cl tích cöïc cao, coù giaûn ñoà traïng thaùi sau: 000 Q2Q1Q0 100 011010 110 1 0 0 X X X 1 1 0 0 1 0 0 1 1 X X X 0 0 0 X X X D2 D1 D0 29 30 D2 = Q2 Q0 D1 = Q2 ⊕ Q1 D0 = Q2 Q1 CK Q0(LSB)Q1Q2(MSB) D2 Ck2 Q 2 Q 2 Pr Cl D1 Ck1 Q 1 Q 1 Pr Cl D0 Ck0 Q 0 Q 0 Pr Cl RS 0 0 0 30 31 * Caùch 2: Cho caùc traïng thaùi dö kh

Các file đính kèm theo tài liệu này:

  • pdfbai_giang_ky_thuat_dien_tu_ban_hay.pdf
Tài liệu liên quan